九州大学 研究者情報
発表一覧
白谷 正治(しらたに まさはる) データ更新日:2024.03.21

教授 /  システム情報科学研究院 情報エレクトロニクス部門 電子デバイス工学


学会発表等
1. 白谷正治, プラズマ成膜の革新を目指して (招待講演), Global Plasma Forum, 2023.03.
2. 田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVD法で堆積した2層a-C:Hの機械的強度に対するカーボンナノ粒子挿入の効果, 第70回応用物理学会 春季学術講演会, 2023.03.
3. 鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(3), 第70回応用物理学会 春季学術講演会, 2023.03.
4. 阿南輝樹, 奥村賢直, アトリパンカジ, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ照射によるレタス種子表面および内部の分子変動, 第70回応用物理学会 春季学術講演会, 2023.03.
5. 奥村賢直, P. Attri, 古閑一憲, 白谷正治, プラズマおよび電界を用いた農産物の生産法,加工・保存法の新展開, 令和5年電気学会全国大会, 2023.03.
6. 白谷正治, 鎌滝晋礼, 機械学習・量子アニーリングを活用したプラズマプロセスの解析と制御 (招待講演), 第70回応用物理学会 春季学術講演会, 2023.03.
7. 山下尚人, A. Agustrisno, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, C. Marrows, Non-off Axis Sputtering Deposition of Ferrimagnetic Insulator Film with Perpendicular Magnetic Anisotropy, 第70回応用物理学会 春季学術講演会, 2023.03.
8. A. Khalil, T. Okumura, P. Attri, K. Kamataki, K. Koga, M. Shiratani, Molecular Structure Change in Phenol by Gas-Liquid Discharge Plasma, ISPlasma2023/IC-PLANTS2023, 2023.03.
9. M. Shiratani, Tuning Deposition Profiles and Stress of Plasma CVD Carbon Films (Award Commemorative Speech), ISPlasma2023/IC-PLANTS2023, 2023.03.
10. M. Morimoto, M. Matsui, K. Koga, M. Shiratani, Effects of Pulsed Microwave ECR Plasma on Si3N4 Etching, ISPlasma2023/IC-PLANTS2023, 2023.03.
11. 奥村賢直, 古閑一, 白谷正治, 種子へのプラズマ照射量の定量解析最前線 (招待講演), プラズマ種子科学研究会, 2023.02.
12. 奥村賢直, 古閑一憲, 白谷正治, 種子へのプラズマ照射量定量解析 (招待講演), 東北プラズマフォーラム 令和4年度 東北大学 電気通信研究所 共同プロジェクト研究会, 2023.02.
13. P. Attri, T. Okumura, Y. Ishibashi, K. Koga, M. Shiratani, Contribution of non-thermal plasma in agriculture: Focus on pre-harvest treatment, SAPP XXIV, 2023.01.
14. 白谷正治, Si系プラズマCVD研究の現状と展望 (招待講演), 学振153プラズマ材料科学委員会 第161回研究会, 2023.01.
15. F. L. Chawarambwa, M. Shiratani, E. T. Putri, Enhancing the Performance of Quasi-Solid Dye-Sensitized Tandem Solar cells Under Low-Concentrated Light, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
16. T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Performance characteristics of bifacial dye-sensitized solar cells with a v-shape low concentrating light system, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
17. Z. Shen, Y. Mido, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani1, H. Yabuta, N. Itagaki, A Study of Solid-phase Crystallization of Amorphous ZnON Films, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
18. K. Kamataki, Y. Mido, I. Nagao, D. Yamashita, T. Okumura, N.Yamashita, K. Koga, N. Itagaki, M. Shiratani, Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
19. T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Effects of lower discharge frequency on plasma parameters in capacitively coupled plasmas studied by particle-in-cell/Monte Carlo collision method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
20. N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Microscopic analysis of single crystalline Zn1-xMgxO thin films on sapphire grown via inverted Stranski-Krastanov mode, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
21. R. Mitsuishi, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of RF powers on the crystal quality and surface morphology of single crystalline ZnO films deposited by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
22. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of thermal treatment of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
23. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Masaharu, Effects of Gas Pressure on Deposition Characteristics of Hydrogenated Amorphous Carbon Films Produced by Ar + CH4 Capacitively Coupled Plasma, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
24. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation Discharge on Ion Energy and Angular Distributions studied by PIC-MCC method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
25. A. Yamamoto, I. Nagao, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fluctuation of plasma and amount of nanoparticles in TEOS amplitude modulated rf discharge, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
26. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar and Ar/Ne capacitively coupled radio frequency plasma., 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
27. K.Yataka, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline Zn1-xMgxO films on sapphire substrate via inverted Staranski-Krastanov mode: effects of substrate , 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
28. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. 0kumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani, Structural Characteristics of Carbon Sphere Composite Deposited by Ar+CH4 Plasma Chemical Vapor Deposition Method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
29. T. Hasegawa, M. Ideguchi, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani, The effect of plasma off time on CO2 methanation using pulsed discharge plasma, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
30. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Measurement of fluctuation of electric field in Ar plasmas using a fine particle trapped with laser tweezers, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
31. 白谷正治, Plasma deposition of nanostructure tuning films (Invited), 15th International Conference on Plasma Science and Applications, 2022.12.
32. 湯上貴文, 矢高功太郎, 三石遼, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 薮田久人, 板垣奈穂, サファイア基板上への Zn1-xMgxO 膜のスパッタエピタキシー:ZnON/MgO バッファー層の効果, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
33. 和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 板垣奈穂, 固相結晶成長した ZnO シード層上への ZnO:Al 膜のスパッタリング成膜, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
34. 田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲,白谷正治, ナノインデンテーションを用いた a-C:H/CNP/a-C:H サンドイッチ構造膜の機械的強度評価, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
35. 池田築,大高真寛,大友洋,有馬聡明,頼建勲,鎌滝晋礼, 山下大輔,奥村賢直,山下尚人,板垣奈穂,古閑一憲,白谷正治, 進藤崇央,田中諭志,松土龍夫, Ar/Ne/CH4 プラズマ CVD による a-C:H 成膜への Ne 混合効果, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
36. 内野泰佑, アタリ パンカジ, 奥村賢直, 古閑一憲,山下大輔, 鎌滝普礼, 山下尚人, 板垣奈穂, 白谷正治, 水への CO₂プラズマ照射による選択的 CO 生成 , プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
37. 中尾匠,小野晋二郎,山本小龍,内野泰祐,奥村賢直,P. Attri,古閑一憲, 山下大輔,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治, プラズマ照射を用いて窒素リッチ化した肥料で栽培したサトウキビの生育評価, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
38. K. Koga, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Kuchitsu, K. Ishikawa, M. Hori, Influence of Seed Dormancy on Plasma Irradiation Effects on their Germination, 第32回日本MRS年次大会, 2022.12.
39. T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on biomolecules extracted from plasma-irradiated plant seeds using quadrupole mass spectrometer, 第32回日本MRS年次大会, 2022.12.
40. T. Anan, T. Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma Irradiation-induced Molecular Modification of Quercetin as Plant Antioxidant, 第32回日本MRS年次大会, 2022.12.
41. S. Yamamoto, T. Nakao, T. Anan, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Yanagawa, S. Shimada, Improvement of Germination Characteristics of Sorghum bicolor Seeds Irradiated by Surface Discharge Plasma, 第32回日本MRS年次大会, 2022.12.
42. K. Ikeda, M. Otaka, T. Arima, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Discharge frequency dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, 第32回日本MRS年次大会, 2022.12.
43. K. Kamataki, T. Sato, K. Tomita, Y. Pan, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurement of the charge on single fine particles in plasma, 第32回日本MRS年次大会, 2022.12.
44. J. Lai, T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of dual frequency method in capacitively coupled plasmas using particle-in-cell/Monte Carlo method, 第32回日本MRS年次大会, 2022.12.
45. Y. Yamamoto, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulated Discharge on SiO2 film qualities in TEOS Plasma CVD, 第32回日本MRS年次大会, 2022.12.
46. T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani, Effect of water absorbent materials on CO2 methanation using plasma catalyst, 第32回日本MRS年次大会, 2022.12.
47. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani , Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition, 第32回日本MRS年次大会, 2022.12.
48. M. Shiratani, K. Kamataki, K. Koga, Issues of Advanced EUV Lithography, 第32回日本MRS年次大会, 2022.12.
49. P. Attri, T. Okumura, T.E. Putri, F. L. Chawarambwa, K. Koga, K. Kamataki, M. Shiratani , Role of reactive nitrogen species on the radish seeds, 第32回日本MRS年次大会, 2022.12.
50. K. Kamataki, T. Sato, k. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Electric fields measurement using an optically trapped charged particle in Ar plasma (Invited), 第32回日本MRS年次大会, 2022.12.
51. F. L. Chawarambwa, M. Shiratani, K. Kamataki, N. Itagaki, Predictive Analytics in Impurity Mediated Amorphization Plasma Sputtering Process using Machine Learning tools and Techniques, 第32回日本MRS年次大会, 2022.12.
52. N. Yamashita, R. Mitsuishi, Y. Nakamura, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Mechanism of homogeneous nucleation of ZnO in N2 and Ar plasma, 第32回日本MRS年次大会, 2022.12.
53. M. Shiratani, Plasma Agriculture: An Emerging Field (Invited), ICPP 2022, 2022.11.
54. Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki, Sputter epitaxy of (ZnO)x(InN)1-x films on sapphire substrates using ZnON buffer layers, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
55. Z. Shen, H. Yabuta, Y. Wada, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Kiyama, N. Itagaki, Sputtering deposition of ZnO:Al Transparent Conducting Films on Seed Layers Crystallized via Thermal Annealing of Amorphous ZnON Films, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
56. 有馬聡明, 頼建勲, 大高真寛, 池田築, 長尾伊織, 鎌滝晋礼, 山下大輔, 山下尚人, 板垣奈穂, 奥村賢直, 古閑一憲, 白谷正治, 二周波重畳容量結合並行平板放電の PIC-MCC シミュレーション:駆動周波数の効果, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
57. 山本祐馬, 長尾伊織, 大高真寛, 山本晃大, 鎌滝晋礼, 古閑一憲, 白谷正治, TEOS-PECVD における SiO2成膜における AM放電の効果, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
58. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions, DPS2022, 2022.11.
59. T. Anan, T. Nakao, T. Okumura, P. Attri, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics, DPS2022, 2022.11.
60. T. E. Putri, F. L. Chawarambwa, P. Attri, K. Kamataki, N. ltagaki, K. Koga, M. Shiratani, Enhancing output power of polymer electrolytes DSSCs with V-shape low­concentrated light systems, PVSEC-33, 2022.11.
61. 白谷正治, ダストプラズマの異常輸送実験とその量子コンピューティング, 第38回 九州・山口プラズマ研究会, 2022.11.
62. M. Shiratani, A Plasma Enhanced CVD Technology for Solving Issues on Sidewall Deposition in Trenches and Holes, iMPACT2022, 2022.10.
63. T.Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N.Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of electric field measurements in Ar plasmas using an optically trapped fine particle, AAPPS-DPP 2022, 2022.10.
64. T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma irradiation-introduced RONS amount into plant seeds and their response analysis, ICRP-11/GCE2022, 2022.10.
65. S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation, ICRP-11/GCE2022, 2022.10.
66. M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki, Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode, ICRP-11/GCE2022, 2022.10.
67. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi, Plasma induced conversion of CO2 with water to useful compounds, ICRP-11/GCE2022, 2022.10.
68. T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy, ICRP-11/GCE2022, 2022.10.
69. M. Shiratani, T. Anan, T. Nakao, T. Okumura, P. Attri, K. Koga, Reproducibility in plasma agriculture, ICRP-11/GCE2022, 2022.10.
70. M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, ICRP-11/GCE2022, 2022.10.
71. A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, The role of post-annealing treatment on ZnO:Co structure and magnetic transition grown by sputtering deposition, ICIEE 2022, 2022.10.
72. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model, ICRP-11/GCE2022, 2022.10.
73. S. Toko, T. Hasegawa, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara, Relationship between vibrational temperature and CO2 methanation with plasma catalysis, ICRP-11/GCE2022, 2022.10.
74. K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(Invited), ICRP-11/GCE2022, 2022.10.
75. K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth, ICRP-11/GCE2022, 2022.10.
76. 沈志遠, 薮田久人, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, 固相結晶化シード層上へのZnO:Al透明導電膜のスパッタリング成膜:固相結晶化温度の影響, 第83回応用物理学会秋季学術講演会, 2022.09.
77. 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシー法による(ZnO)x(InN)1-x単結晶薄膜の室温成膜, 第83回応用物理学会秋季学術講演会, 2022.09.
78. 三石遼, 矢高功太郎, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 格子不整合サファイア基板上への単結晶ZnO膜のスパッタリング成膜: ZnONバッファー層の効果, 第83回応用物理学会秋季学術講演会, 2022.09.
79. 矢高功太郎, 高橋大智, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 異なるMg組成を有する単結晶Zn­­1-xMgxO膜成長におけるZnONバッファー層の効果, 第83回応用物理学会秋季学術講演会, 2022.09.
80. 鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(2), 第83回応用物理学会秋季学術講演会, 2022.09.
81. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani, Role of plasma treatment on radish seeds affects germination, morphology, and biochemical responses, 第83回応用物理学会秋季学術講演会, 2022.09.
82. 都甲将, 長谷川大樹, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原 裕一, プラズマ触媒作用を用いたCO2メタネーションのためのゼロ次元シミュレーション, 第83回応用物理学会秋季学術講演会, 2022.09.
83. 山本晃大, 長尾伊織, 山本祐馬, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 振幅変調放電法を用いたTEOSプラズマCVDへの効果, 第83回応用物理学会秋季学術講演会, 2022.09.
84. 布村正太, 坂田功, 古閑一憲, 白谷正治, プラズマ誘起欠陥の発生と修復 ~水素終端Si表面に及ぼすArプラズマの効果~, 第83回応用物理学会秋季学術講演会, 2022.09.
85. 山下尚人, 中村優太, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, サファイア基板上の単結晶ZnO薄膜作製におけるバッファー層評価指標, 第83回応用物理学会秋季学術講演会, 2022.09.
86. 中尾匠, 奥村賢直, パンカジアトリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治, 大気圧空気プラズマを用いた肥料への窒素固定と圃場試験, 第83回応用物理学会秋季学術講演会, 2022.09.
87. 坪山祥子, 奥村賢直, 古閑一憲, 白谷正治, 朽津 和幸, モデル植物ゼニゴケの成長に対する低温プラズマ照射解析実験系の確立と初発反応の解析, 第83回応用物理学会秋季学術講演会, 2022.09.
88. 奥村賢直, 阿南輝樹, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 休眠状態を考慮したレタス種子発芽へのプラズマ照射効果, 第83回応用物理学会秋季学術講演会, 2022.09.
89. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of amplitude modulated discharge on suppression of nanoparticle growth in TEOS/O2/Ar capacitively coupled plasma, IVC-22, 2022.09.
90. S. Ono, S. H. Hwang, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Eff ects of Modifi cation of Film Interface by Nanoparticles on Mechanical Properties of Films, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
91. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Eff ects of Amplitude Modulated RF Discharge on Properties of SiO2 Films Deposited by TEOS/O2/Ar Plasma-Enhanced Chemical Vapor Deposition, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
92. N. Itagaki, Y. Mido, Z. Shen, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Low-Resistive Amorphous In2O3 :Sn Films at 600°C by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
93. A. M. Nurut, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Control of Crystal Structure and Magnetic Properties of ZnO: Co Films Grown by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
94. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of surface polarity of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated at room temperature, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
95. M. Shiratani, T. Sato, K. Kamataki, Electric Field Vector Deduced from Balance of Forces Exerted on a Fine Particle Immersed in Discharge Plasma(Invited), iPlasmaNano-XI 2022, 2022.09.
96. M. Shiratani, H. Tanaka, T. Anan, T. Nakao, Y. Tagawa, T. Okumura, P. Attri, K. Koga, Particle Fluxes to Seeds Irradiated from Scalable DBD Plasma, ISNTP-12&ISEHD 2022, 2022.08.
97. A. M. Nurut, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, N. Itagaki, M. Shiratani, Magnetic properties of Co-doped ZnO films fabricated by sputtering using mediated crystallization., IUMRS-ICYRAM 2022, 2022.08.
98. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Ion energy and angular distribution functions in amplitude modulated capacitively coupled Ar discharge plasma obtained using particle-in-cell Monte Carlo collision model simulation, IUMRS-ICYRAM 2022, 2022.08.
99. M. Otaka, D. Nagamatsu1, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar/Ne capacitively coupled radio frequency plasma, IUMRS-ICYRAM 2022, 2022.08.
100. T. Arima, M.Otaka, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Spatio-temporal structures of plasma density in lower driving rf frequency plasmas studied by particle-in-cell/Monte Carlo collision method, IUMRS-ICYRAM 2022, 2022.08.
101. T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Thermoplastic Polymer Electrolytes for DSSCs Performance Under V-Shape Low-Concentrated Light, IUMRS-ICYRAM 2022, 2022.08.
102. A. Pankaj, T. Okumura, F. L. Chawarambwa, T. E. Putri, N. Takeuti, K. Koga, K. Ishikawa, K. Kamataki, M. Shiratani, Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds, IUMRS-ICYRAM 2022, 2022.08.
103. F. L. Chawarambwa, T. E. Putri, A. Pankaj, M. Shiratani, Stability of a quasi-solid DSSC under low-concentrated light soaking, IUMRS-ICYRAM 2022, 2022.08.
104. N. Yamashita, A. Agusutrisno, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Increase of Blocking Temperature in Co-doped ZnO by Using NitrogenMediated Crystallization, ICMFS-2022, 2022.07.
105. 山本晃大、長尾伊織、山本祐馬、大高真寛、山下大輔、鎌滝晋礼、奥村賢直、山下尚人、板垣奈穂、古閑一憲、白谷正治, TEOSプラズマSiO2成膜に及ぼす振幅変調放電の効果, 2022年度日本表面真空学会 九州支部学術講演会, 2022.06.
106. 三石遼, 山下尚人, 矢高功太郎, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 逆Stranski-Krastanovモードによる単結晶ZnO薄膜のスパッタリング成膜:窒素流量の影響, 2022年度日本表面真空学会 九州支部学術講演会, 2022.06.
107. 中尾匠、奥村賢直、P. Attri、古閑一憲、山下大輔、鎌滝晋礼、山下尚人、板垣奈穂、白谷正治, 大気圧空気プラズマで生成した硝酸態窒素の水表面への輸送評価, 2022年度日本表面真空学会 九州支部学術講演会, 2022.06.
108. K. Kamataki, S. Okunaga,T. Sato,K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Development of Measurement of Two-Dimensional Distribution of Strength of Electrical Field with High Spatial Resolution Using Optical Trapped Particle in Plasma, MRS spring meeting 2022, 2022.05.
109. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N.Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
110. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M.Shiratani, Growth of Nanoparticles in TEOS rf Plasma with Amplitude Modulation, MRS spring meeting 2022, 2022.05.
111. N. Yamashita, Y. Nakamura, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Key parameters for single crystalline ZnO film growth by magnetron sputtering via inverted Stranski-Krastanov mode, MRS spring meeting 2022, 2022.05.
112. T. Okumura , K. Koga , P. Attri , K. Kamataki , N. Yamashita , N. Itagaki, M. Shiratani, Quantitative Evaluation through LC-QqQ MS/MS for RONS Induced into Dry Seeds by Non-Thermal Plasma Irradiation, MRS spring meeting 2022, 2022.05.
113. M. shiratani, Identification of key plasma process parameters using support vector machine(Invited), Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies, 2022.05.
114. R. Mitsuishi, N. Yamashita, D.Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Single-Crystalline ZnO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode by Low-Power Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
115. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, N. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani, Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
116. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time Resolved Optical Emission Spectroscopy in Ar and Ar/Ne Capacitively Coupled Radio Frequency Plasma, MRS spring meeting 2022, 2022.05.
117. T. Arima , T. Yang, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effects of rf Frequency on Plasma Density in Capacitively Coupled Plasmas at Low Pressure Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
118. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, MRS spring meeting 2022, 2022.05.
119. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Amplitude Modulation Frequency Dependence of Ion Energy Distribution in Capacitively Coupled Discharge Plasma Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
120. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani, Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
121. 白谷正治, 3次元デバイス製造のためのプラズマプロセスとレーザプロセス, 半導体3D実装材料プロセス・インフォマティクス研究会第一回研究会, 2022.03.
122. 永松 大樹, 有馬 聡明, 大高 真寛, 山下 大輔, 鎌滝 晋礼, 古閑 一憲, 白谷 正治, 大友 洋, 進藤 崇央, 田中 諭志, 松戸 龍夫, 任意電圧波形励起PECVDによるa-C:H成膜における希ガスの効果の検証, 第69回応用物理学会春季学術講演会, 2022.03.
123. 奥村賢直,阿南輝樹,パンカジアタリ, 古閑一憲, 鎌滝晋礼,山下尚人, 板垣奈穂, 白谷正治, 石橋勇, 調湿レタス種子のラジカル量に与えるプラズマ照射の効果, 第69回応用物理学会春季学術講演会, 2022.03.
124. 長谷川大樹,出口 雅史,山下大輔,都甲将,鎌滝晋礼,古閑一憲, 白谷正治, パルス放電プラズマを用いたCO2メタン化に対する放電休止時間の効果, 第69回応用物理学会春季学術講演会, 2022.03.
125. 永松大樹, 有馬聡明, 大高真寛, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 大友洋, 進藤崇央, 田中諭志, 松土龍夫, 任意電圧波形励起 PECVD による a-C:H 成膜における希ガスの効果の検証, 第69回応用物理学会春季学術講演会, 2022.03.
126. 古閑一憲, 坪山祥子,田川雄大, 中尾匠,田中颯,阿南輝樹,奥村賢直 ,P. Attri,鎌滝晋礼,山下尚人 ,板垣奈穂 ,白谷正治 ,朽津和幸, 植物へのプラズマ照射効果解明に向けたゼニゴケ実験系の確立, 第69回応用物理学会春季学術講演会, 2022.03.
127. 都甲 将, 出口 雅志, 長谷川 大樹, 奥村 賢直, 鎌滝 晋礼, 竹中 弘祐, 古閑 一憲, 白谷 正治, 節原 裕一, プラズマ触媒作用を用いたCO2メタネーションにおける振動回転励起CO分子の役割, 第69回応用物理学会春季学術講演会, 2022.03.
128. 吉川大智, 小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 鷹林将,呉準席, 中谷達行, CNP 層を挿入した a-C:H 膜の応力低減に対する CNP 被覆率の効果, 第69回応用物理学会春季学術講演会, 2022.03.
129. 小野晋次郎, 吉川大智, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 呉準席, 鷹林将, 中谷達行, プラズマ CVD 法を用いた a-C:H 薄膜製膜特性に対するガス圧力・基板位置の効果, 第69回応用物理学会春季学術講演会, 2022.03.
130. 田中昭代, 松村渚, 田中佑樹, 小椋康光, 古閑一憲, 白谷正治, 長野嘉介, インジウム・スズ酸化物ナノ粒子の皮下および気管内投与による生体影響の比較, 第92回日本衛生学会学術総会, 2022.03.
131. 鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人,奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治 , 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測, 第69回応用物理学会春季学術講演会, 2022.03.
132. 古閑一憲,黄成和,小野晋次郎,吉川大智, 奥村賢直,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治, プラズマCVDを用いて堆積した薄膜のナノ構造化による機械的特性制御, 日本物理学会 第77回年次大会(2022年), 2022.03.
133. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Deposition of Carbon Nanoparticles of 5 nm in Size on Positively Biased Substrates using Multi-Hollow Discharge Plasma CVD Method, ISPlasma2022/IC-PLANTS2022, 2022.03.
134. H. Tanaka, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Itagaki, M. Shiratani, Y. Ishibashi, M. Nakano, K. Namiki, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, K. Koga, Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds, ISPlasma2022/IC-PLANTS2022, 2022.03.
135. H. Ikenoue, D. Nakamura, K. Katayama, A. Mizutani, H. Mizoguchi, M. Shiratani, Development of DUV laser material processing for semiconductor device, Laser Applications in Microelectronic and Optoelectronic Manufacturing (LAMOM) XXVII, 2022.02.
136. H. Mizoguchi, H. Nakarai, K. Kakizaki, H. Ikenoue, M. Shiratani, Progress of DUV・EUV Light Source and its Extension to Leading Edge Semiconductor Manufacturing, 第8回グローバルプラズマフォーラム「半導体プロセス技術最前線」, 2022.02.
137. 都甲将,出口雅志, 長谷川大樹, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲,白谷正治, 節原裕一, プラズマ触媒を用いたCO2メタン化のためのプラズマシミュレーシンョン, SPP-39/SPSM34, 2022.01.
138. 大島多美子, 日比野祐介,猪原武士,柳生義人, 佐竹卓彦, 川崎 仁晴, 青木 振一, 板垣奈穂, 古閑一憲, 白谷正治, 異なる状態の混合粉末ターゲットによるAlドープ酸化亜鉛薄膜のスパッタリング堆積, SPP-39/SPSM34, 2022.01.
139. 鎌滝晋礼, 阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 奥村賢直, 板垣奈穂, 古閑ー憲, 白谷正治, AM変調放電を圧いたTEOS プラズマにおけるナノ粒子成長とプラズマ生成の関係についての研究, SPP-39/SPSM34, 2022.01.
140. 阿南 輝樹, 田中颯,奥村賢直, アタリパンカジ, 中尾匠, 鎌滝晋礼,山下尚人, 板垣奈穂,古閑ー憲, 白谷 正治, プラズマ照射による酸化還元反応に対する種子内水分量の効果, SPP-39/SPSM34, 2022.01.
141. 奥村 賢直, アタリバンカジ,中尾匠, 田中颯, 鎌滝晋礼, 山下尚人, 古閑ー憲, 板垣奈穂, 白谷正治, 竹内希, プラズマ照射肥料を用いて栽培した植物の成長解析, SPP-39/SPSM34, 2022.01.
142. 佐藤斗真, 奥永冴京, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセット技術を用いたプラズマ中微粒子への作用力の絶対値校正, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
143. 大高真寛, 有馬聡明, 永松大樹, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 平行平板容量結合高周波プラズマ発光の時空間分解計測, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
144. 陶陽, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, PIC-MCCM を用いた平行平板容量結合プラズマに対する放電周波数依存性に関する研究, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
145. 長尾伊織, 阿部滉平, 山本晃大, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 平行平板容量結合高周波放電プラズマの AM 変調周波数依存性に関する PICMCC シミュレーション, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
146. 矢髙功太郎, 山下尚人, 髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 逆 Stranski-Krastanov モードを利用した単結晶 ZnMgO 薄膜のスパッタリング成膜, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
147. Z. Shen, N. Yamashita, Y. Mido, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki , Solid-phase Crystallization of Sputter-deposited ZnON Films and Their Impacts as Seed Layers for ZnO:Al Transparent Conducting Oxides, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
148. M. Shiratani, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga , N2/SiH4 flow rate ratio dependence of nanoparticle incorporation in SiNx films deposited by plasma CVD, Materials Research Meeting 2021 (MRM2021), 2021.12.
149. F. Chen, K. Kamataki, Y. Tao, S. Okunaga, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Key Process Parameters of Plasma CVD for Fabricating a-Si:H Solar Cells through Boosting Technique, Materials Research Meeting 2021 (MRM2021), 2021.12.
150. K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, P. Attri, Possible plasma oxidation effect on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2): A computational study, Materials Research Meeting 2021 (MRM2021), 2021.12.
151. Y.Tao, D. Nagamatsu, K. Kamataki, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of Radial and Vertical Electric Field in Capacitively Coupled Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
152. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Structural analysis of carbon nanoparticle composite films prepared by Ar+CH4 multi-hollow plasma chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
153. S. Okunaga, T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N.Itagaki, K. Koga, M. Shiratani, Calibration of force acting on an optically trapped particle in Ar plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
154. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
155. K. Kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Investigation of 2D electric field measurements in Ar plasmas using a fine particle trapped with laser tweezers, Materials Research Meeting 2021 (MRM2021), 2021.12.
156. K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Ion Energy Distribution Function in DC Pulse biased Capacitively Coupled Plasma Discharge by using Particle-In-Cell/Monte Carlo Collision Model, Materials Research Meeting 2021 (MRM2021), 2021.12.
157. T. Okumura, T. Anan, H. Tanaka, D. Yamashita, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Y. Fujimoto, M. Kumauchi, H. Matsui, Effect of intraseed moisture and plasma irradiation on lettuce seed surface, Materials Research Meeting 2021 (MRM2021), 2021.12.
158. P. Attri, K. Koga, T. Okumura, N. Takeuchi, M. Shiratani, Green route for ammonium nitrate synthesis: Fertilizer for plant growth enhancement, Materials Research Meeting 2021 (MRM2021), 2021.12.
159. M. Ideguchi, T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse rf discharge on carbon dioxide methanation in plasma catalytic method, Materials Research Meeting 2021 (MRM2021), 2021.12.
160. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani , Structural Analysis of Hydrogenated Amorphous Carbon Films Deposited by Capacitively Coupled Plasma Chemical Vapor Deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
161. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effect of rf bias on the film property of amorphous silicon oxide films deposited by plasma enhanced chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
162. T. Hasegawa, M. Ideguchi, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani, Kinetic study of effects of discharge power on CO2 methanation using a plasma catalyst, Materials Research Meeting 2021 (MRM2021), 2021.12.
163. L. F. Chawarambwa, K. Koga, K. Kamataki, M. Shiratani, Improved luminescence performance of Yb3+-Er3+-Zn2+: Y2O3 nanophosphor and its application to Solar Cells, 第31回日本MRS年次大会, 2021.12.
164. 奥村賢直, アトリ パンカジ,石橋勇志, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治, プラズマ照射された植物種子に関する物理的、化学的および分子生物学的研究, 第31回日本MRS年次大会, 2021.12.
165. 田中颯, 阿南輝樹, 奥村賢直, A. Pankaj, 古閑一憲, 鎌滝晋礼, 板垣奈穂, S. Chetphilin, 石橋勇志, 白谷正治, イネ種子へのプラズマ照射がDNAメチル化レベルに及ぼす影響, 第31回日本MRS年次大会, 2021.12.
166. 阿南輝樹, 田中颯, 奥村賢直, A. Pankaj, 山下大輔, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 石橋勇志, 白谷正治, 電子常磁性共鳴法を用いたレタス種子内ラジカル量のプラズマ照射時間依存性, 第31回日本MRS年次大会, 2021.12.
167. 古閑一憲, アタリ パンカジ, 奥村賢直, 竹内希, 鎌滝晋礼, 板垣奈穂, 白谷正治, 水素と触媒を用いない低圧窒素プラズマを用いた窒素肥料作製, 第31回日本MRS年次大会, 2021.12.
168. 中尾匠, 阿南輝樹, 田中颯, 奥村賢直, 山下大輔, A. Pankaj, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治, スケーラブル誘電体バリア放電による対象物への活性酸素窒素種暴露量の評価, 第31回日本MRS年次大会, 2021.12.
169. 田川雄大, 奥村賢直, 鎌滝晋礼, A. Pankaj, 山下大輔, 古閑一憲, 板垣奈穂, 白谷正治, ポッケルスセルを用いたスケーラブル誘電体バリア放電により生じる電界の測定, 第31回日本MRS年次大会, 2021.12.
170. P.Attri, K. Koga, T. Okumura, M. Shiratani, Plasma treatment causes structural modifications in proteins, result in increased cytotoxicity towards cancer cells, 第31回日本MRS年次大会, 2021.12.
171. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of Growth Suppression Mechanism of Nanoparticles through Amplitude Modulation Discharge Method in TEOS Plasma, the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12), 2021.12.
172. P. Attri, T. Okumura, K. Koga, M. Shiratani, Oxidation of Catalase by Plasma Treatment: A Probable Mechanism of Cancer Treatment, the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12), 2021.12.
173. T. E. Putri, L. F. Chawarambwa, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Flowing Electrolyte System of Bifacial Dye-Sensitized Solar Cells Under Low-Concentrated Light, MRS fall meeting 2021, 2021.12.
174. D. Takahashi, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Inverted Stranski-Krastanov Growth of Single-Crystalline Zn Mg O Films on Sapphire Substrates using Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
175. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
176. Y. Mido, K. Takeda, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, M. Hori, N. Itagaki, High-temperature Growth of Amorphous In O :Sn Films by Magnetron Sputtering using Nitrogen, MRS fall meeting 2021, 2021.12.
177. T. Anan, H. Tanaka,T. Okumura, P. Attri,D. Yamashita,K. Kamataki,K. Koga, N. Itagaki, M. Shiratani, Y.Ishibashi, Effects of Plasma Irradiation to Moistened Seeds on Radical Amount, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
178. R. Mitsuishi, D. Takahashi, D. Yamashita, T. Okumura, N. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of RF power, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
179. D. Nagamatsu, T. Arima, M. Otaka, H. Otomo, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo, Deposition of a-C:H films using tailored voltage waveforms in plasma enhanced CVD, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
180. M. Shiratani, K. Koga, Restoring germination rate of heat-stressed seeds by low temperature plasma treatment, Japan-RUB Workshop, 2021.12.
181. 佐道泰造、片山慶太、池上浩、白谷正治, レーザープロセスを用いたIV族系半導体の結晶成長とドーピング, 応物シリコンテクノロジー分科会第231回研究集会, 2021.11.
182. S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Low-stress diamond-like carbon films containing carbon nanoparticles produced by combining rf sputtering and plasma chemical vapor deposition, Japan-RUB Workshop, 2021.11.
183. 奥村賢直,パンカジアトリ,古閑一憲,鎌滝晋礼,板垣奈穂,白谷正治, 種子に導入された大気圧空気プラズマ起因活性種の高感度微量定量測定法の創成, プラズマ・核融合学会 第38回年会, 2021.11.
184. 古閑一憲,田川雄大,中尾匠,阿南輝樹,田中颯,奥村賢直,P. Attri,鎌滝晋礼,板垣奈穂,白谷正治,坪山祥子,橋本研志,朽津和幸, 植物照射用スケーラブル誘電体バリア放電の生成粒子の計測, プラズマ・核融合学会 第38回年会, 2021.11.
185. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, S. Tahara, Y. Mizokami, Y. Miyata, K. Tabuchi, T. Tanikuni, S. Hiyama, K. Nagahata, Better step coverage of TEOS-PECVD SiO2 films realized by amplitude modulation of RF discharge voltage, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
186. S. Toko, M. Ideguchi, T. Hasegawa, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara, Energy utilization efficiency in CO2 methanation with plasma catalysis, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
187. M. Shiratani, K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, Study of effect of amplitude modulated discharge on growth of nanoparticles in TEOS plasma, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
188. S. H. Hwang, S. Ono, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, J.-S. Oh, S. Takabayashi, T. Nakatani, Reduction of compressive stress of hydrogenated amorphous carbon films by inserting carbon nanoparticle layer using plasma CVD, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
189. K. Koga, Y. Ishibashi, C. Suriyasak, T. Okumura, H. Tanaka, P. Attri, K. Matsuo, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, Molecular Analysis of Plasma-Induced Germination Improvement of Rice Seeds With High-Temperature Stress Damage, AVS67, 2021.10.
190. K. Koga, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani, Transport of Nanoparticles in Afterglow Region Using Multi-Hollow Discharge Plasma CVD, 74th Annual Gaseous Electronics Conference, 2021.10.
191. P. Attri,H. Kurita, T. Okumura, K. Koga, M. Shiratani, Effect of plasma treatment on MDM2 and p53 expression in cancer cells, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
192. K. Abe, A.Yamamoto, I.Nagao, M.Otaka, D.Yamashita, K.Kamataki, T.Okumura, N.Itagaki, K.Koga, M.Shiratani, Suppression of nanoparticle growth in TEOS plasma by amplitude modulation discharge method, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
193. K. kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Study on measurement of strength and fluctuation of electrical field using optical trapped particle in Ar plasma, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
194. M. Shiratani, Dusty Plasma: Scientific and Technological Impacts(Invited), 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
195. 中尾匠, 阿南輝樹, 田中颯, 奥村賢直, P. Attri, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治, スケーラブル誘電体バリア放電プラズマで発生した活性種量評価, 第74回電気・情報関係学会九州支部連合大会, 2021.09.
196. 田川雄大, 奥村賢直, 鎌滝晋礼, P. Attri, 古閑一憲, 板垣奈穂, 白谷正治, スケーラブル誘電体バリア放電プラズマの特性評価, 第74回電気・情報関係学会九州支部連合大会, 2021.09.
197. 白谷正治, プラズマ農業の現在と将来(招待講演), 名古屋大学プラズマ研究会60周年記念シンポジウム, 2021.09.
198. 御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタ法による高移動度アモルファスIn2O3:Sn薄膜の高温成膜, 第82回応用物理学会秋季学術講演会, 2021.09.
199. 高橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, ZnONバッファー層を用いたサファイア基板上への単結晶Zn1-xMgxO薄膜の成長, 第82回応用物理学会秋季学術講演会, 2021.09.
200. 成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣 奈穂, マグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表面モフォロジーに及ぼす基板極性の影響, 第82回応用物理学会秋季学術講演会, 2021.09.
201. 田中昭代, 松村渚, 田中佑樹, 小椋康光, 古閑一憲, 白谷正治, 長野嘉介, インジウム・スズ酸化物ナノ粒子の経気道性曝露による生体影響, 第62回大気環境学会, 2021.09.
202. S. Okunaga, K. Kamataki, K. Tomita, Y. Pan, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, 2D electric field measurements in Ar plasmas using a fine particle trapped with optical tweezers, Plathinium 2021 , 2021.09.
203. M. Shiratani, Novel methods for tuning film properties using nanostructures(Invited), Plathinium 2021, 2021.09.
204. R. Narishige, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of (ZnO)X(InN)1-X films by magnetron sputtering: effects of surface polarity of ZnO substrates, Plathinium 2021 , 2021.09.
205. M. Ideguchi, T. Hasegawa, K. Kamataki, S. Toko, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Relation between CH4 yield and CO emission intensity gradient in plasma-assisted CO2 methanation, Plathinium 2021 , 2021.09.
206. P. Attri, T. Okumura. K. Koga, M. Shiratani, Cold Plasma induced structural modification of NADPH oxidase activator (Noxa 1) by oxidative stress, 第82回応用物理学会秋季学術講演会, 2021.09.
207. 奥村賢直, アトリ パンカジ, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 白谷正治, 液体クロマトグラフ-トリプル四重極質量分析計を用いたプラズマ照射種子内植物ホルモンの定量分析, 第82回応用物理学会秋季学術講演会, 2021.09.
208. 古閑一憲, 黄成和, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 鷹林将, 呉準席, 中谷達行, 白谷正治, Ar+CH4平行平板型プラズマCVDで作製したカーボンナノ粒子サイズに対するガス圧力の効果, 第82回応用物理学会秋季学術講演会, 2021.09.
209. 鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, Pan Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 振幅変調放電プラズマ中の光捕捉微粒子の挙動に関する研究, 第82回応用物理学会秋季学術講演会, 2021.09.
210. 布村正太, 坂田功, 佐藤愛子, ロザック ミカエ, 三沢達也, 板垣奈穂, 白谷正治, プラズマCVDによるi/n a-Si:H/SiN反射防止パッシベーション膜の特性, 第82回応用物理学会秋季学術講演会, 2021.09.
211. 都甲将, 出口雅志, 長谷川大樹, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一, プラズマ触媒反応において触媒が二酸化炭素リサイクリングのエネルギーコストに与える影響, 第82回応用物理学会秋季学術講演会, 2021.09.
212. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Effects of Carbon Nanoparticles Inserted between Two Diamond Like Carbon Layers Films on Residual Stress of Films Deposited by Plasma Chemical Vapor Deposition, INTERFINISH 2020, 2021.09.
213. K. Koga, S. H. Hwang, T. Okumura, P. Attri, K. Kamataki, N. Itagaki, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani, Production of Hollow Carbon Nanoparticles using Multi-Hollow Discharge Plasma CVD, INTERFINISH 2020, 2021.09.
214. 小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合型プラズマ化学気相堆積法を用いて製膜された水素化アモルファスカーボン膜(a-C:H)の構造解析, 第15回プラズマエレクトロニクスインキュベーションホール, 2021.09.
215. P.Attri, T. Okumura, K. Koga, M. Shiratani, Comparative study on the decontamination of water using non-thermal atmospheric pressure plasma and gamma irradiation, APA Bioforum2021: Polymeric Biomaterials & Bioengineering, 2021.08.
216. P. Attri, K. Koga, A. Bogaerts, W. Lee, M. Shiratani, Influence of Cold Atmospheric Plasma on NADPH Oxidase 1 (NOX1) Enzyme and Membrane Protein Structures: A Combined Experimental and Computational Study, 8th International Conference on Plasma Medicine(icpm), 2021.08.
217. 髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタ法によるサファイア基板上への原子平坦なZnMgO薄膜の作製 , 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
218. 成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, (ZnO)x (InN)1-x 膜のスパッタエピタキシーに及ぼす基板表面極性の影響, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
219. 御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタ法による高移動度アモルファス In2 O3 :Sn 薄膜の作製, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
220. 長谷川大樹, 出口雅志, 山下大輔, 都甲将, 鎌滝晋礼, 古閑一憲, 白谷正治, 容量結合プラズマ触媒法を用いたサバティエ反応プラズマパラメータがCO2変換率に与える影響, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
221. 阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, Particle-In-Cell モンテカルロ衝突モデルによる容量結合型Arプラズマにおける下部バイアス電圧印加シミュレーション, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
222. 阿南輝樹, 田中颯, 山下大輔, 奥村賢直, Pankaj Attri, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享, レタス種子表面状態に対する種子内水分およびプラズマ照射の影響, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
223. M. Shiratani, F. L. Chawarambwa, T. E. Putri, K. Koga, K. Kamataki, M. Son, H. Seo, Ambient radiant energy source for powering IoT devices(Invited), Thermec2021, 2021.06.
224. K. Koga, S. H. Hwang, K. Kamataki, P. Attri, N. Itagaki, M. Shiratani, Structure control ofa:C-H film nanocomposite using a combination of rf sputtering and plasma CVD, Thermec2021, 2021.06.
225. K.Koga‚ S. H. Hwang‚ K. Kamataki‚ N. Itagaki‚ M. Shiratani, High Throughput Deposition of Hydrogenated Amorphous Carbon Films using High-Pressure Ar+CH4 Plasmas, ICMCTF2021, 2021.04.
226. M. Shiratani, Sputtering Growth of Metal Oxynitride Semiconductors for Excitonic Devices(Invited), EDTM2021, 2021.04.
227. 鎌滝晋礼,奥永冴京, 岩本 亮介, 富田 健太郎, P.Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷 正治, Arプラズマ中の光捕捉微粒子への作用力の研究, 第68回応用物理学会春季学術講演会, 2021.03.
228. 奥村賢直, アトリパンカジ, 石川健治, 古閑一憲, 白谷正治, ヴィダミルダズィネ, かいわれ大根種子の発芽と発芽の成長に対する大気圧プラズマ照射の影響-種子の色と貯蔵の効果, 第68回応用物理学会春季学術講演会, 2021.03.
229. 古閑一憲, 黄成和, Y.Hao, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治, プラズマを用いてカーボンナノ粒子層を含むミルフィーユ型 a-C:H 膜の機械的特性, 第68回応用物理学会春季学術講演会, 2021.03.
230. 白谷 正治, c-Si表面パッシベーションにおけるプラズマ誘起欠陥とバンド構造(招待講演), 第68回応用物理学会春季学術講演会, 2021.03.
231. 白谷正治, プラズマナノテクノロジーの学術変革(招待講演), 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
232. 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫, 任意波形励起プラズマ CVD 法による a-C:H 膜質の制御, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
233. 佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子取り込みによる SiNx の低温プラズマ CVD 製膜, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
234. 成重椋太, 金島健太郎, 浦川 聖一, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタリング法による(ZnO)x(InN)1-x膜のエピタキシャル成長:基板の面極性の影響, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
235. 古閑一憲, S. H. Hwang, Y. Hao, P. Attir, 奥村賢直,鎌滝晋礼,板垣奈穂, 白谷正治, プラズマCVD中飛行時間によるカーボンナノ粒子サイズ制御, 日本物理学会第76回年次大会, 2021.03.
236. S. Okunaga, K. Kamataki, K. Tomita, P. Yimin, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Electric Field Measurements in Ar plasmas using a Fine Particle Trapped with Optical Tweezers, ISPlasma2021/IC-PLANTS2021, 2021.03.
237. 奥村 賢直, 阿南 輝樹, 田中 颯, 有田 涼, 山下 大輔, アトリ パンカジ, 鎌滝 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 藤本 祉史, 熊内 雅人, 松井 英享, 石橋 勇志, 調湿レタス種子の発芽に及ぼす大気圧プラズマ照射の影響, SPP-38/SPSM33, 2021.01.
238. F. L. Chawarambwa, P. Attri, K. Koga, M. Shiratani, Effects of Concentrated Light on the Performance and Stability of Quasi-Solid Electrolytes in Dye-Sensitized Solar Cells, ICMAP 2020 & ISFM 2020, 2021.01.
239. S. Urakawa, K. Kaneshima, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. shiratani, N. Itagaki, Sputter deposition of ZnAlO films with tunable bandgaps from 3.4 to 6.1 eV, ICMAP 2020 & ISFM 2020, 2021.01.
240. K. Kaneshima, S. Urakawa, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Growth of high-quality (ZnO)x(InN)1-x films by RF magnetron sputtering using a two-step growth process, ICMAP 2020 & ISFM 2020, 2021.01.
241. Y. Nakamura, M. Kikuchi, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagak, Impact of surface morphologies of 3D island layers on the single crystal growth of magnetron sputtered ZnO films, ICMAP 2020 & ISFM 2020, 2021.01.
242. M. Shiratani, P.Attri, T. Okumura, K. Koga, Applications of Low Temperature Plasma to Agriculture in Preharvest Stage (Invited), ICMAP 2020 & ISFM 2020, 2021.01.
243. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Structural and Optical Properties of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, ICMAP 2020 & ISFM 2020, 2021.01.
244. Y. Mido, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen mediate amorphization method: Effects of nitrogen flow rate, ICMAP 2020 & ISFM 2020, 2021.01.
245. R. Narishige, K. Kaneshima, S. Urakawa, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki , Effects of surface polarity of ZnO substrates on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films, ICMAP 2020 & ISFM 2020, 2021.01.
246. Y. Sasaki, T. Yoshida, K. Abe, K. Yamamoto, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of SiO2 films using capacitively coupled TEOS plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
247. K. Kamataki, T. Yoshida, Y. Sasaki, K. Abe, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Film Deposition Process using Spatial Profiles of Plasma Parameters and Nanoparticles in Reactive Plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
248. 陳飛宇, 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ITO薄膜のスパッタ製膜プロセスへの機械学習の適用 , 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
249. 長谷川大樹, 出口雅志, 都甲将, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合プラズマ触媒法によるサバティエ反応:圧力依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
250. 佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合プラズマCVD法によるSiO2膜の低温製膜, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
251. 山本晃大, 吉田智晃, 阿部滉平, 佐々木勇輔, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, TEOSプラズマCVDを用いたSiO2膜の形成:基板バイアス電圧依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
252. 吉田知晃, 阿部滉平, 佐々木勇輔, 山本晃大, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD におけるナノ粒子成長とプラズマ生成の関係, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
253. 郝源, 𠮷川大智, 黄成和, 古閑一憲, 白谷正治, 鎌滝晋礼, 板垣奈穂, 奥村賢直, Ar+CH4 マルチホロー放電プラズマCVDで作製したカーボンナノ粒子のフラックスに対する熱泳動力の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
254. 松本翔剛, 髙橋大智, 中村優太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2スパッタリングによるサファイア基板上へのZnO単結晶成長:O-poorバッファー層の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
255. 寺澤寛, 金島健太郎, 成重椋太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシー法による(ZnO)X(InN)1-X膜の作製: 高温バッファー層の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
256. 陶陽, 岩本亮介, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, CCPにおける径方向電場Eyの計測の試み, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
257. 永松大樹, 岩本亮介, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫, PECVD における任意電圧波形を用いた a-C:H 成膜, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
258. 奥永 冴京, 鎌滝 晋礼, 富田 健太郎, Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治,, アルゴンプラズマ中光捕捉微粒子への作用力の校正, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
259. 阿南輝樹, 田中颯, 有田涼, 山下大輔, 奥村賢直, アトリ パンカジ, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享, レタス種子の発芽特性に及ぼす調湿・プラズマ照射時間依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
260. 阿部滉平, 陶陽, 岩本亮介, 佐々木勇輔, 吉田知晃, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, PIC-MCCMを用いたCCPにおけるIEDに関する研究, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
261. M. Shiratani, 低温低圧プラズマ触媒法による二酸化炭素の資源化(招待講演), 第1回低温プラズマ科学研究センター(cLPS)公開シンポジウム, 2020.12.
262. P. Attri, K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, Impact of Plasma Treatment on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2), 第30回日本MRS年次大会, 2020.12.
263. T. Okumura, H. Tanaka, R. Arita, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth of Rice Cultivated in Field from Plasma-irradiated Seeds and Its Health Assessment for rats, 第30回日本MRS年次大会, 2020.12.
264. K. Koga, P. Attri, T. Anan, R. Arita, H. Tanaka, T. Okumura, D. Yamashita, K. Matsuo, K. Kanataki, N. Itagaki, M. Shiratani, Y. Ishibashi, Impact of Plasma Treatment Time on the Barley Seeds using Electron Paramagnetic Resonance, 第30回日本MRS年次大会, 2020.12.
265. H. Tanaka, R. Kogawa, Y. Oba, M. Fujita, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kamataki, N. Itagaki, Nitric Acid Generation by Pulsed Needle-water Discharge Plasma, 第30回日本MRS年次大会, 2020.12.
266. T. Kawasaki, K. Koga, M. Shiratani, Experimental identification of key species for ROS transportation in the depth direction by plasma irradiation, 第30回日本MRS年次大会, 2020.12.
267. 出口雅志, 山下大輔, 都甲将, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ触媒法を用いたCO2のCH4化反応におけるプラズマ発光強度の空間分布, 第37回 プラズマ・核融合学会 年会, 2020.12.
268. 白谷正治, 古閑一憲, 鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平, プラズマCVDにおけるナノ粒子成長とプラズマ生成の関係, 第37回 プラズマ・核融合学会 年会, 2020.12.
269. 白谷正治, プラズマによる生体荷電制御科学の進展(総合討論), 第37回 プラズマ・核融合学会 年会, 2020.12.
270. Y. Midou, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
271. F. L. Chawarambwa, K. Kamataki , K. Koga , M. Shiratani , Development of Highly Efficient and Stable Quasi-Solid Electrolytes for Dye-Sensitized solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
272. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita,T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Bandgap Tuning of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
273. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki,T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of substrate surface polarity on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-xfilms, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
274. T. E. Putri, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Concave Concentrator and Plane Reflector Mirror to Increase Efficiency of Bifacial Dye-Sensitized Solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
275. 出口雅志,長谷川 大樹,山下 大輔,鎌滝 晋礼,板垣 奈穂,古閑 一憲,白谷 正治,都甲 将,寒川 誠二, プラズマ触媒メタン化におけるメタン収率とプラズマ発光強度空間分布の関係, 2020年(令和2年度 )応用物理学会九州支部学術講演会, 2020.11.
276. 田中 昭代, 松村 渚, 田中 佑樹, 小椋 康光, 古閑 一憲, 白谷 正治 , インジウム・スズ酸化物ナノ粒子曝露による臓器障害, 生命金属に関する合同年会2020(ConMetal 2020), 2020.11.
277. M. Shiratani, S. Toko, S. Samukawa, Clarifying Interaction Mechanisms between Plasma and Catalysts by Using Neutral Beam, Seventeenth International Conference on Flow Dynamics, 2020.10.
278. K. Kamataki, S. Okunaga, K. Tomita, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Information on electric field deduced using a fine particle trapped with laser tweezers in Ar plasma, The 73rd Annual Gaseous Electronics Conference, 2020.10.
279. K. Koga, P. Attri, K. Ishikawa, T. Okumura, K. Matsuo, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Role of seed coat color and harvest year on growth enhancement by plasma irradiation to seeds, The 73rd Annual Gaseous Electronics Conference, 2020.10.
280. 古閑一憲, S. H. Hwang, 奥村賢直, Y. Hao, 山下大輔, 松尾かよ, 板垣奈穂, 鎌滝晋礼, 白谷正治, マルチホロー放電プラズマ CVDを用いて作製したカーボンナノ粒子輸送量に対する電極基板間距離の効果, 2020年度(第73回)電気・情報関係学会九州支部連合大会, 2020.09.
281. 都甲将, 寒川誠二, 出口雅志, 鎌滝晋礼, 古閑一憲, 白谷正治, プラズマ触媒反応系における触媒のCO2生成反応への影響, 第81回応用物理学会秋季学術講演会, 2020.09.
282. 布村正太, 坂田功 ,榊田 創, 古閑 一憲, 白谷 正治, プラズマ誘起欠陥の発生と修復~結晶シリコンの表面パッシベーションへの影響~, 第81回応用物理学会秋季学術講演会, 2020.09.
283. 古閑一憲, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 白谷正治, 谷口雄太, 池田大輔, プラズマCVD技術文献のテキストマイニングを用いた単語のインパクトの解析, 第81回応用物理学会秋季学術講演会, 2020.09.
284. 鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平, 岩本亮介, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 低温環境下におけるプラズマCVDによる高品質保護膜の作製, 日本物理学会 2020年秋季大会, 2020.09.
285. 古閑一憲, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治, プラズマCVDを用いたa-Si:H堆積薄膜中のSi-H/Si-H2結合形成の活性化エネルギー, 日本物理学会 2020年秋季大会, 2020.09.
286. Y. Hao, S. H. Hwang, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 中谷達行, 白谷正治, メタンプラズマCVDを用いたホローカーボンナノ粒子のワンステップ作製, 第81回応用物理学会秋季学術講演会, 2020.09.
287. 奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, PanYiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたアルゴンプラズマの等電場面計測, 第81回応用物理学会秋季学術講演会, 2020.09.
288. 奥村 賢直, 石橋 勇志, C. Suriyasak, 田中 颯, 佐藤 僚哉, 有田 涼, 廣松 真弥, 古閑 一憲, P. Attri, 松尾 かよ, 山下 大輔, 板垣 奈穂, 鎌滝 晋礼,白谷 正治, 高温障害を持つイネ種子の発芽特性へ及ぼす誘電体バリア放電プラズマ照射の影響, 第81回応用物理学会秋季学術講演会, 2020.09.
289. 白谷正治, Materials processing with low pressure plasma:present issues and possible solutions (Invited), SPIG2020, 2020.08.
290. 古閑一憲, 原尚志, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマと薄膜のナノ界面相互作用による結合形成の活性化エネルギー評価, 日本物理学会第75回年次大会(2020年), 2020.03.
291. 鎌滝晋礼, 吉田知晃, 阿部滉平, 佐々木勇輔, 永石翔大, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 低温成膜における反応性プラズマ中のナノ粒子制御, 日本物理学会第75回年次大会(2020年), 2020.03.
292. 鎌滝晋礼, 奥永冴京, 岩本亮介, 富田健太郎, 山下⼤輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによるプラズマ中捕捉微粒⼦の揺動スペクトル解析, 第67回応用物理学会春季学術講演会, 2020.03.
293. 古閑⼀憲, 石橋勇志, S. Chetphilin, 田中颯, 佐藤僚哉, 有田涼, 廣松真弥, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治, ⾼温障害を持つイネ種⼦の発芽特性に対するプラズマ照射の効果, 第67回応用物理学会春季学術講演会, 2020.03.
294. 石川健治, P. Attri, 奥村賢直, 古閑⼀憲, 有田涼, 佐藤僚哉, 田中颯, 廣松真弥, 松尾かよ, 山下大輔, 鎌滝晋礼, 板垣奈穂, 堀勝, 白谷正治, プラズマ照射したカイワレ種⼦の吸⽔の時間推移評価, 第67回応用物理学会春季学術講演会, 2020.03.
295. 古閑⼀憲, 黄成和, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治, ⾮平衡プラズマを⽤いたサイズ制御したカーボンナノ粒⼦の連続作 製と堆積, 第67回応用物理学会春季学術講演会, 2020.03.
296. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of substrates on the epitaxial growth of (ZnO)x(InN)1-x films , ISPlasma2020/IC-PLANTS2020, 2020.03.
297. 白谷正治, ESR Measurements of Plasma Irradiated Seed (Invited), the 1st international symposium on applied plasma science and engineering for agro and bio applications, 2020.01.
298. K. Kamataki, Y. Sasaki, S. Nagaishi, T. Yoshida, K. Abe, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of passivation films by plasma enhanced CVD, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
299. M. Shiratani, M. Ideguchi, A. Yamamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Methane production for energy storage using low temperature plasma (Invited), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
300. F. L. Chawarambwa, T. E. Putri, Y. Hao, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si3N4/Carbon based PEDOT:PSS Counter Electrode for low-cost Dye-Sensitized Solar Cells, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
301. T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
302. 有田涼, 田中颯, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治, プラズマ照射したカイワレダイコン種子の発芽促進機構-吸水時の種子内ラジカル動態-, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
303. 吉田知晃, 阿部滉平, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, TEOS プラズマ CVD による SiO2製膜と膜質の温度勾配依存性, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
304. 阿部滉平, 吉田知晃, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 反応性プラズマのAM変調における発光強度分布, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
305. 奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる微粒子トラップを用いたアルゴンプラズマ電場の精密測定, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
306. 出口雅志, 山本瑛久, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, パルス変調プラズマ触媒法による二酸化炭素のメタン化, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
307. 廣松真弥, 有田涼, 田中颯, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治, レタス幼苗重量分布に対する種子エイジングとプラズマ照射の効果, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
308. 田中颯, 有田涼, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治 , イネ種子に対するプラズマ照射効果のフィールドテスト, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
309. M. Shiratani, R. Iwamoto, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, Measurements of Electrostatic Potential in RF Ar Plasma Using an Optically Trapped Fine Particle, 20th Workshop on Fine Particle Plasmas, 2019.12.
310. R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Tuning Parameters of Indium Tin Oxide Sputter Processing via Bayesian Optimization, 20th Workshop on Fine Particle Plasmas, 2019.12.
311. S. Nagaishi, Y. Sasaki, K. Kamataki, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of high nitriding and low hydrogen content SiN films at 100°C by controlling cluster formation in plasma, 20th Workshop on Fine Particle Plasmas, 2019.12.
312. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
313. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, ZnO Based Semiconductors for Excitonic Devices (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
314. M. Shiratani, S. Tanida, S. Toko, A. Yamamoto, K. Koga, Apparent Activation Energy of Sabatier Reaction in Low Pressure Plasma-Catalyst Gas Conversion, Materials Research Meeting 2019 (MRM2019), 2019.12.
315. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pulse Bias Voltage on Characteristics of a-C:H Film Deposited by High Pressure Ar+CH4 Plasma CVD Process, Materials Research Meeting 2019 (MRM2019), 2019.12.
316. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of higher-order silane molecules on Si-H2 bond and Si-H bond densities in a-Si:H films, Materials Research Meeting 2019 (MRM2019), 2019.12.
317. K. Kamataki, R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Amorphization Condition Models from Sputter Deposition Experimental Results by Machine Learning Method, Materials Research Meeting 2019 (MRM2019), 2019.12.
318. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Impact of Plasma Fluctuation Driven by Amplitude Modulated VHF Discharge on Growth of Nanoparticles in Reactive Plasma (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
319. 古閑一憲, 佐藤僚哉, 吉田知晃, 有田涼, 田中颯, 廣松真弥, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ照射した種籾の圃場栽培試験, 第36回プラズマ・核融合学会年会, 2019.12.
320. 山本瑛久, 出口雅史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 低温低圧プラズマと触媒を用いた二酸化炭素のメタン化率とCO発光強度の相関, 第36回プラズマ・核融合学会年会, 2019.12.
321. 岩本亮介, 鎌滝晋礼, 村岡宗一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, ベイズ的最適化による高移動度アモルファスITO/In2O3成膜条件の探索, 第36回プラズマ・核融合学会年会, 2019.12.
322. 原尚志, HAO Yuan, 阿部滉平, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いて高ガス流速で製膜することによるa-Si:H膜の面内に局所的に存在する高密度Si-H2結合の抑制, 第36回プラズマ・核融合学会年会, 2019.11.
323. 金島健太郎, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, (ZnO)x(InN)1-x膜のスパッタエピタキシーにおける基板温度の影響, 第36回プラズマ・核融合学会年会, 2019.11.
324. 中村優太, 村岡宗一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板上への単結晶ZnO膜の成長, 第36回プラズマ・核融合学会年会, 2019.11.
325. 永石翔大, 佐々木勇輔, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 気相中のクラスター生成制御による低温低水素SiN膜の作製, 第36回プラズマ・核融合学会年会, 2019.11.
326. S. Urakawa, K. Kaneshima, D. Yamashita, K. Kamataki, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide-gap amorphous ZnAlON
films with tunable bandgaps from 3.4 to 4.2 eV, 第36回プラズマ・核融合学会年会, 2019.11.
327. 村岡宗一郎, 山下大輔, 鎌滝普礼, 古閑一憲, 白谷正治, 板垣奈穂, 不純物添加アモルファス化法による低抵抗アモルファスITO膜の作製: 基板温度の影響, 第36回プラズマ・核融合学会年会, 2019.11.
328. 鎌滝晋礼, 永石翔大, 佐々木勇輔, 原尚志, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子生成制御(招待講演), 第36回プラズマ・核融合学会年会, 2019.11.
329. K. Koga, M. Shiratani, V. Mildaziene, Metabolomics Approach for Studying Effects of Atmospheric Air Plasma Irradiation to Seeds (Keynote), 29th Annual Meeting of MRS-J, 2019.11.
330. F. L. Chawarambwa, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fabrication of dye sensitized solar cells with up and down conversion nano-particles, 29th Annual Meeting of MRS-J, 2019.11.
331. R. Sato, M. Hiromatsu, K. Matsuo, T. Yoshida, R. Arita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Irradiation to Seeds of Lactuca Sativa on Histogram of Fresh Weight of Their Seedling, 29th Annual Meeting of MRS-J, 2019.11.
332. A. Tanaka, K. Koga, M. Shiratani, Health Effects of Rice Harvested from Plasma-Irradiated Rice Seeds Administered Orally in Mice, 29th Annual Meeting of MRS-J, 2019.11.
333. P. Attri, A. Bogaerts, K. Koga, M. Shiratani, Influence of co-solvents on protein folding during plasma treatment (Invited), 29th Annual Meeting of MRS-J, 2019.11.
334. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate position dependence of characteristics of a-C:H films fabricated by Ar+CH4 plasma, The 4th Asian Applied Physics Conference, 2019.11.
335. R. Narishige, N. Miyahara, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x films for Excitonic Transistors, The 4th Asian Applied Physics Conference, 2019.11.
336. K. Kaneshima, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate temperature on Crystal Quality of (ZnO)x(InN)1-x Films Fabricated by Sputter Epitaxy, The 4th Asian Applied Physics Conference, 2019.11.
337. Y. Nakamura, S. Muraoka, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Surface morphology of single-crystal ZnO films on sapphire substrates fabricated by sputter epitaxy *Yuta Nakamura, Soichiro Muraoka, Kunihiro K, The 4th Asian Applied Physics Conference, 2019.11.
338. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of amorphous ZnAlON films with tunable bandgap, The 4th Asian Applied Physics Conference, 2019.11.
339. R. Iwamoto, S. Okunaga, K. Kamataki, H. Hara, K. Koga, M. Shiratani, Machine Learning Analysis for Prediction of Key Plasma Process Parameters, The 4th Asian Applied Physics Conference, 2019.11.
340. F. L. Chawarambwa, K. Kamataki, K. Koga, M. Shiratani, Development of Carbon Based Counter Electrodes for Dye Sensitized Solar Cells, The 4th Asian Applied Physics Conference, 2019.11.
341. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD , 41st International Symposium on Dry Process (DPS2019), 2019.11.
342. S. Muraoka, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, 41st International Symposium on Dry Process (DPS2019), 2019.11.
343. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of High Nitriding Degree of SiN films by Multi-Hollow Discharge SiH4+N2 Plasma CVD, 41st International Symposium on Dry Process (DPS2019), 2019.11.
344. M. Shiratani, M. Soejima, H. Seo, N. Itagaki, K. Koga, Fluctuation of Position and Energy of a Fine Particle in Plasma Nanofabrication, 第35回九州・山口プラズマ研究会, 2019.11.
345. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Precision control of nanoparticle property in reactive plasma ~ Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD ~, 第35回九州・山口プラズマ研究会, 2019.11.
346. Y. Hao, H. Hara, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pressure on Characteristics of a-Si:H Films Desosited using Multi-Hollow Discharge Plasma CVD, 3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019), 2019.11.
347. K. Koga, M. Shiratani, Impact of Atmospheric Pressure Plasma Irradiation to Seeds on Agricultural Productivity, 3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019), 2019.11.
348. K. Tomita, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Development of a Sensitive Electric Field Probe in Ar plasmas using Optically Trapped Fine Particles, 72nd Gaseous Electronics Conference, 2019.10.
349. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Discharge Power on Deposition Cutoff of Carbon Nanoparticles Synthesized by High Pressure Ar+CH4 Multi-hollow Discharge Plasma CVD, 72nd Gaseous Electronics Conference, 2019.10.
350. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Spatial inhomogeneous distribution of high Si-H2 bond density in a-Si:H films deposited by MHPCVD, 72nd Gaseous Electronics Conference, 2019.10.
351. A. Yamamoto, M. Ideguchi, S. Toko, K. Koga, M. Shiratani, Optical emission spectroscopy of plasma-catalytic CO2 methanation, 72nd Gaseous Electronics Conference, 2019.10.
352. K. Koga, A. Yamamoto, K. Kamataki, N. Itagaki, M. Shiratani, Rate Limiting Factors of Low Pressure Plasma-catalytic CO2 Methanation Process, AVS 66th International Symposium & Exhibition, 2019.10.
353. K. Kamataki, F. L. Chawarambwa, K. Koga, M. Shiratani, Challenges and Opportunities in Dye Sensitized Solar Cells Using DBD Plasma Treated Upconversion Nanoparticles (Invited), 236th ECS Meeting, 2019.10.
354. K. Koga, M. Shiratani, Non-equilibrium nanoparticle composite film process using reactive plasmas (Invited), Advanced Metallization Conference 2019: 29th Asian Session (ADMETA Plus 2019), 2019.10.
355. 古閑一憲, Sung Hwa Hwang, 鎌滝晋礼, 板垣奈穂, 白谷正治, Ar+CH4プラズマCVDを用いて堆積した水素化アモルファスカーボン薄膜の堆積特性に対する電極基板間距離依存性, 2019年度(第72回)電気・情報関係学会九州支部連合大会, 2019.09.
356. 吉田 知晃, 佐藤 僚哉, 山下 大輔, 鎌瀧 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 吸水したカイワレダイコン種子内ラジカル計測, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
357. 金島 健太郎, 宮原 奈乃華, 浦川 聖一, 山下 大輔, 鎌滝 晋礼, 古閑 一憲, 白谷 正治, (ZnO)x(InN)1-x膜スパッタエピタキシー:表面モルフォロジーの時間発展の観察, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
358. 古閑 一憲, 鎌滝 晋礼, 白谷 正治, プラズマ生成前駆体制御による単分散ナノ粒子合成, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
359. 石川 健治, 橋爪 博司, 田中 宏昌, 吉武 淳, 柴田 貴広, 小鹿 一, 伊藤 昌文, 古閑 一憲, 白谷 正治, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝 , プラズマ誘起有機酸の前駆体が拓く超バイオ機能, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
360. 田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治, インジウムナノ粒子の気管内および皮下投与によるインジウムの生体影響の比較, 第60回大気環境学会年会, 2019.09.
361. 鎌滝 晋礼, 田中 颯, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, AM変調反応性プラズマにおけるナノ粒子成長揺動に関する時空間情報解析, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
362. K. Kamataki, R. Iwamoto, S. Okunaga, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Developing Prediction of Key Plasma Processing Parameter from Small Data of Experimental Results by Machine Learning Method, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
363. F. L. Chawarambwa, M. Shiratani, K. Koga, K. Kamataki, H. Seo, Synthesis of Si-nanoparticles using low temperature plasmas and its application to DSSCs , The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
364. K. Koga, M. Shiratani, Plasmas - from Laboratory to Table - (Invited), The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
365. M. Shiratani, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, Ultra-sensitive Plasma Potential Measurements by using an Optically Trapped Fine Particle, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
366. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Spatiotemporal Structure of Fluctuation Related with Nanoparticle Growth in Amplitude-Modulated VHF Discharge Reactive Plasma, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
367. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, High Rate Deposition of Carbon Films Using High Pressure CH4 Plasma CVD, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
368. S. Nagaishi, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster incorporation in SiN films, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
369. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, M. Shiratani, Synthesis and deposition of a-C:H nanoparticles using reactive plasmas with a fast gas flow, The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
370. Y. Sasaki, S. Nagaishi, H. Hara, S. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of Low Hydrogen Content SiN films by Multi Hollow Discharge SiH4+N2 Plasma CVD , The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
371. M. Shiratani, K. Kamataki, K. Koga, N. Itagaki , Advanced Methods of Thin Film Fabrication using Plasmas (Invited), 28th International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS28), 2019.08.
372. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide bandgap (ZnO)x(AlN)1-x alloys: a new material system with tunable bandgap, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
373. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Influence of Seed Coat Color on Reactive Species in Plasma Irradiated Seeds of Radish Sprouts, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
374. R. Iwamoto, K. Kamataki, S. Muraoka, N. Miyahara, D. Yamashita, D. Ikeda, K. Koga, N. Itagaki, M. Shiratani, Prediction of Sputtering Conditions for Amorphous and Low Resistive ITO/In2O3 Films via Machine Learning, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
375. N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x Films on Sapphire Substrates, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
376. F. L. Chawarambwa, H. Chou, M. Shiratani, K. Koga, Atmospheric plasma-assisted modification of nanosized TiO2/Y2O3:Er3+/Yb3+ double composite film and its application to dye-sensitized solar cells, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
377. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
378. H. Hara, S. Nagaishi, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas velocity dependence of deposition rate and Si-H2 bond density of a-Si:H films deposited by multi-hollow discharge plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
379. K. Nishida, M. Kawaguchi, Y. Hazama, G. Uchida, F. Mitsugi, N. Takeuchi, K. Takenaka, K. Koga, Y. Setsuhara, M. Shiratani, T. Kawasaki, Effects of liquid properties on plasma-induced liquid flow, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
380. V. Mildaziene, L. Degutyte˙-Fomin, G. Pauzaite, A. Ivankov, R. Zukiene, Z. Nauciene, I. Filatova, V. Lyushkevich, K. Koga, M. Shiratani, Cold plasma treatment stimulates seed germination by inducing dormancy loss due to changes in phytohormone balance, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
381. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki M. Shiratani, Growth Mechanism of Carbon Nanoparticles In Multi-Hollow Discharge Plasma Chemical Vapor Deposition (Invited), XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
382. M. Shiratani, K. Tomita, H. Ohtomo, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Position fluctuation of a fine particle trapped optically in Ar plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
383. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, T. Nakatani, Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
384. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Relationship between Growth of Nanoparticles and Plasma Fluctuation due to Amplitude Modulated Discharge Voltage in Capacitively Coupled Reactive Plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
385. T. Kawasaki, K. Nishida, M. Kawaguchi, Y. Hazama, G. Uchida, F. Mitsugi, N. Takeuchi, K. Takenaka, K. Koga, Y. Setsuhara, M. Shiratani, Effects of surrounding gas on plasma-induced liquid flow, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
386. 永石翔大, 佐々木勇輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法による高品質SiN膜の低温(100度)形成, 令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019), 2019.06.
387. 浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリングによる可変バンドギャップ半導体(ZnO)x(AlN)1-xの創成, 令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019), 2019.06.
388. K. Koga, S. H. Hwang, T. Nakatani, J. S. Oh, K. Kamataki, N. Itagaki, M.Shiratani, Deposition of Carbon Nanoparticles Using Multi-Hollow Discharge Plasma CVD for Synthesis of Carbon Nanoparticle Composite Films, 46th International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2019), 2019.05.
389. 古閑一憲, 大友洋, 真銅雅子, 鎌滝晋礼, 板垣奈穂, 白谷正治, 微粒子プラズマにおける2体衝突運動の顕微高速観察, 日本物理学会第74回年次大会(2019年), 2019.03.
390. 白谷正治, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲, プラズマ中の光捕捉微粒子に働く力の揺らぎ検出, 日本物理学会第74回年次大会(2019年), 2019.03.
391. 鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子成長における変調レベル依存性, 日本物理学会第74回年次大会(2019年), 2019.03.
392. 宮原奈乃華, 浦川聖市, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板上(ZnO)x(InN)1-x薄膜の2段階成長, 第66回応用物理学会春季学術講演会, 2019.03.
393. 田中和真, 石榴, 原尚志, 永石翔大, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 高ガス流速下におけるシランプラズマ中で発生した粒子の堆積とその膜質への影響, 第66回応用物理学会春季学術講演会, 2019.03.
394. 鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, プラズマに関する学生実験が受講者の動機付けに与える影響, 第66回応用物理学会春季学術講演会, 2019.03.
395. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method, 第66回応用物理学会春季学術講演会, 2019.03.
396. Chawarambwa Fadzai, 張博辰, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 徐鉉雄, ダウン/アップコンバージョンナノ粒子を用いた色素増感太陽電池の特性改善, 第66回応用物理学会春季学術講演会, 2019.03.
397. 鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおける相互作用揺らぎの時空間構造の周波数依存性, 第66回応用物理学会春季学術講演会, 2019.03.
398. 富田健太郎, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Arプラズマ中の光捕捉微粒子を用いたシース近傍電界計測法の開発, 第66回応用物理学会春季学術講演会, 2019.03.
399. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation Amount and Film Composition of SiN Films Fabricated by Multi-Hollow Discharge Plasma CVD Method, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
400. H. Hara, K. Tanaka, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of clusters and higher-order silanes (HOSs) on surface roughness and Si-H2 bond density in a-Si:H films deposited by SiH4 plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
401. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursor on FWHM into a-Si:H thin films deposited by plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
402. B. Zhang, F. L. chawarambwa, H. Seo, N. Itagaki, K. Koga, M. Shiratani, High transparency polymer counter electrode for bifacial dye sensitized solar cells, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
403. M. Shiratani, K. Kamataki, N. Itagaki, K. Koga, Ambipolar charging due to plasma irradiation: from nanoparticles to proteins (Invited), 19th Workshop on Fine Particle Plasmas, 2018.12.
404. H. Ohtomo, T. Chimura, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on Spatial Distribution of Plasma Fluctuation Using Optically Trapped Fine Particle in Ar Plasma, 19th Workshop on Fine Particle Plasmas, 2018.12.
405. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Growth of Nano-Particles in Reactive Plasma using Amplitude Modulated rf Discharge Voltage, 19th Workshop on Fine Particle Plasmas, 2018.12.
406. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of ZnO Based Compounds for Excitonic Devices, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
407. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Rate and Cluster Incorporation Amount of SiN Films Fabricated by a Multi-Hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
408. K. Imoto, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization: effects of target-substrate distance, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
409. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size and Structure Control of Carbon Nano-particles Synthesized by Multi-hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
410. A. Yamamoto, S. Tanida, K. Koga, M. Shiratani, CO2 hydrogenation by plasma catalytic method: pressure dependence, 28th Annual Meeting of MRS-J, 2018.12.
411. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster-eliminating filter and gas velocity on SiH2 bond formation in a-Si:H films deposited by MHDPCVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
412. K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursors on Raman intensity ITA/ITO of a-Si:H thin films fabricated by plasma CVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
413. Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2, O2 gas composition ratio and humidity in plasma on plasma induced plant growth enhancement, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
414. S. Tanida, A. Yamamoto, K. Koga, M. Shiratani, Approaches to increase throuput of Plasma- Catalytic CO2 methanation, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
415. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of compound semiconductors via inverse Stranski-Krastanov mode: A method of single crystalline film growth beyond lattice matchins condition, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
416. 佐々木勇輔, 永石翔大, 田中和真, 原尚志, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+N2 マルチホロー放電プラズマ CVD を用い製膜した SiNx 薄膜のクラスター混入と膜質
の関係, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
417. 浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタによる擬2元系混晶(ZnO)x(InN,AlN)1-xのヘテロエピタキシー, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
418. 山本瑛久, 谷田知史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合放電プラズマを用いた炭酸ガスのメタンへの改質, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
419. 岩本亮介, 鎌滝晋礼, 田中和真, 原尚志, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, Si 薄膜プラズマ CVD における成膜条件と膜質の相関の機械学習解析, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
420. 千村智, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中光捕捉微粒子の位置揺らぎに関する研究, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
421. 嶋田凌太郎, 和田陽介, 佐藤僚哉, 古閑一憲, 白谷正治, 種子への大気圧誘電体バリア放電プラズマ照射: 種子内色素の影響, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
422. K. Koga, Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, T. Kawasaki , Spatial Profile of RONS Dose Supplied by a Scalable DBD Device, The 3rd Asian Applied Physics Conference, 2018.12.
423. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Bias Voltage on Deposition of Carbon Nano Particles Synthesized by Ar + CH4 Multi-Hollow Discharge Plasma , The 3rd Asian Applied Physics Conference, 2018.12.
424. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Gas velocity dependence of Si-H2 bond density and surface roughness of a-Si:H films deposited by multi-hollow plasma CVD, The 3rd Asian Applied Physics Conference, 2018.12.
425. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M, Shiratani, Time evolution of amount of cluster incorporation into SiNx films and electron temperature of CVD Plasma, The 3rd Asian Applied Physics Conference, 2018.12.
426. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Fluctuation Frequency on Growth of Nanopariticles in Reactive Plasmas, The 3rd Asian Applied Physics Conference, 2018.12.
427. R. Iwamoto, K. Kamataki, K. Tanaka, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Applying Multivariate Analysis to a-Si:H Deposition Plasma Process Data, The 3rd Asian Applied Physics Conference, 2018.12.
428. B. Zhang, F. L. Chawarambwa, H. Seo, K. Koga, M. Shiratani, Catalytic activity enhanced polymer counter electrode for bificial dye-sensitized solar cells, The 3rd Asian Applied Physics Conference, 2018.12.
429. F.L. Chawarambwa, B. Zhang, M. Shiratani, K. Koga, Synthesis of Er3+/Eu3+ co-doped Y2O3 nanoparticles and its application to dye sensitized solar
cells, The 3rd Asian Applied Physics Conference, 2018.12.
430. 鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるプラズマ揺らぎとラジカルとナノ粒子成長の関係, 第35回プラズマ・核融合学会年会, 2018.12.
431. 古閑一憲, 嶋田凌太郎, 和田陽介, 佐藤僚哉, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, Vida Mildaziene, カイワレ大根種皮の色素に対するプラズマ照射の効果, 第35回プラズマ・核融合学会年会, 2018.12.
432. 白谷正治, 大井手芳徳, 古閑一憲, 田原祐助, 都甲潔, リンゴの味と色に対する低温プラズマ照射の影響, 第35回プラズマ・核融合学会年会, 2018.12.
433. 佐藤僚哉, 和田陽介, 嶋田凌太郎, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 大気圧プラズマ照射したイネの成長に対する浸水処理日数依存性, 第35回プラズマ・核融合学会年会, 2018.12.
434. 和田陽介, 佐藤僚哉, 嶋田凌太郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 種子へのプラズマ照射における活性種の吸収特性の検討-種子数密度の効果, 第35回プラズマ・核融合学会年会, 2018.12.
435. 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Arプラズマ中微粒子の相互作用の評価, 第35回プラズマ・核融合学会年会, 2018.12.
436. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Kinetics of Carbon Nano Particles Generated in an Ar/CH4 Multi-Hollow Discharge Plasmas, 第35回プラズマ・核融合学会年会, 2018.12.
437. 原尚志, 田中和真, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 高速ガス流マルチホロー放電プラズマの発光分光計測, 第35回プラズマ・核融合学会年会, 2018.12.
438. 周靭, 鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中のナノ粒子量揺らぎの構造解析, 第35回プラズマ・核融合学会年会, 2018.12.
439. 谷田知史, 山本瑛久, 古閑⼀憲, 白谷正治, CO2のCH4化のための低圧高周波プラズマの分光計測, 第35回プラズマ・核融合学会年会, 2018.12.
440. 井本幸希, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2スパッタリングによる低抵抗アモルファスITO膜の作製:ターゲット-基板間距離の影響, 第35回プラズマ・核融合学会年会, 2018.12.
441. 村岡宗一郎, 呂佳豪, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタ法を用いたSi(111)基板上でのZnO結晶成長における窒素不純物の効果, 第35回プラズマ・核融合学会年会, 2018.12.
442. 呂佳豪, 山下大輔, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法による格子不整合基板上へZnOエピタキシャル成長—窒素酸素共添加多段バッファー層の効果—, 第35回プラズマ・核融合学会年会, 2018.12.
443. 永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVDによるSiN製膜時のクラスターの混入が膜物性へ与える影響, 第35回プラズマ・核融合学会年会, 2018.12.
444. 田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVD法で作製したa-Si:H薄膜のSiネットワーク秩序性評価, 第35回プラズマ・核融合学会年会, 2018.12.
445. 宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂, フラックス制御スパッタによるIn-rich (ZnO)x(InN)1-x膜のヘテロエピタキシー, 第35回プラズマ・核融合学会年会, 2018.12.
446. 石榴, 田中和真, 原尚志, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 顕微FTIRを用いたプラズマCVD Si薄膜の結合状態の二次元分布評価, 第35回プラズマ・核融合学会年会, 2018.12.
447. 太田裕己, 高橋希世美, 米澤健, 中谷達行, 呉準席, 古閑一憲, 白谷正治, 伊藤昌文, 圧力勾配式スパッタリングによる透明CNx膜のガス分圧依存性, 第35回プラズマ・核融合学会年会, 2018.12.
448. N. Itagki, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy via Inverse Stranski-Krastanov Growth Mode: A Method of Single Crystal Growth beyond Lattice Matching Condition, AVS Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2018), 2018.12.
449. K. Koga, M. Shiratani, Innovative Agricultural Productivity Improvement Using Atmospheric Pressure Plasmas (Invited), 2018 MRS Fall Meeting & Exhibit, 2018.11.
450. N. Miyahara, D. Yamashita, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Catalyst-free growth of c-axis aligned (ZnO)0.79(InN)0.21 nanorods by RF magnetron sputtering, 2018 MRS Fall Meeting & Exhibit, 2018.11.
451. S. Muraoka, J. Lyu, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of nitrogen impurity on sputtering growth of ZnO films on Si(111) substrates, 2018 MRS Fall Meeting & Exhibit, 2018.11.
452. K. Koga, Y. Wada, R. Sato, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of Amount of RONS Transport and Absorption of Seeds, 2018 MRS Fall Meeting & Exhibit, 2018.11.
453. 鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるプラズマ揺らぎの時空間構造, 第34回九州・山口プラズマ研究会, 2018.11.
454. 古閑一憲, 反応性プラズマとナノ粒子相互作用ゆらぎネットワーク解析, 第34回九州・山口プラズマ研究会, 2018.11.
455. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Motion analysis of inter-particle interactions of three fine particles in Ar plasma, 40th International Symposium on Dry Process (DPS2018), 2018.11.
456. K. Imoto, N. Miyahara, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance, 40th International Symposium on Dry Process (DPS2018), 2018.11.
457. M. Shiratani, H. Ohtomo, K. Koga, Micron-scale plasma fluctuation detected using paired fine particles (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
458. M. Shiratani, Y. Wada, R. Sato, D. Yamashita, K. Koga, ESR study of plasma irradiated seeds, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
459. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Pressure on Size of Carbon Nanoparticles Prepared by Methane Plasma Process, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
460. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma
, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
461. J. Lyu, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Sputter epitaxy of single crystalline ZnO on 18%-lattice-mismatched sapphire using multi buffer layers fabricated via nitrogen mediated crystallization , 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
462. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, S. Nakano, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Suppression of HOS molecules incorporation in a-Si:H films fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
463. L. Shi, K. Tanaka, H. Hara, S. Nakano, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Spatial distribution of SiH2/SiH bond density ratio in a-Si:H solar cells fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
464. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
465. N. Itagki, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter epitaxy of high quality (ZnO)x(InN)1-x: a new semiconducting material for excitonic devices (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
466. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of Amplitude Modulation of RF Discharge Voltage on the Spatial Profile of Nanoparticle Characteristics in Reactive Plasma (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
467. 谷田知史, 山本瑛久, 古閑一憲, 白谷正治, 銅電極を用いた低圧放電によるCO2のメタン変換, 放電/プラズマ・パルスパワー合同研究会, 2018.10.
468. 永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+N2マルチホロー放電プラズマCVDによる
SiN製膜時の膜へのクラスターの取り込み, 放電/プラズマ・パルスパワー合同研究会, 2018.10.
469. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, T. Nakatani, M. Shiratani, Synthesis of Hydrogenated Amorphous Carbon Nanoparticles using High-Pressure CH4+Ar Plasmas and Their Deposition, AVS 65th International Symposium & Exhibition, 2018.10.
470. 古閑一憲, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ中二体微粒子の衝突解析による相互作用揺らぎの研究, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
471. 古閑一憲, 田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, Siネットワーク秩序性に対する製膜前駆体の効果, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
472. 宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板直上へのIn-rich (ZnO)x(InN)1-x 膜の作製, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
473. 鎌滝晋礼, 和田陽介, 嶋田凌太郎, 佐藤僚哉, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, Vida Mildaziene, 大気圧誘電体バリア放電プラズマ照射に対する種皮の機能大気圧誘電体バリア放電プラズマ照射に対する種皮の機能, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
474. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, CH4+Arマルチホロー放電プラズマで生成したカーボンナノ粒子の基板堆積に対するガス流量の影響, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
475. K. Koga, K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Effects of cluster deposition on spatial profile of Si-Hx bond density in a-Si:H films, 2018 International Conference on Solid State Devices and Materials (SSDM2018), 2018.09.
476. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of CH4 Concentration on Size of Carbon Nano-Particles Formed in Multi-hollow Discharge Plasma, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
477. S. Nakano, K. Tanaka, H. Hara, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Improvement of Si network order of a-Si:H thin films by suppressing incorporation of HOS molecules, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
478. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Interactions of Two Fine Particles in Ar Plasma, JP-KO dust workshop 2018, 2018.07.
479. M. Shiratani, R. Zhou, H. Ohtomo, N. Itagaki, K. Koga, Density modulation of nanoparticles in amplitude modulated discharge plasmas, 24th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG 2018), 2018.07.
480. M. Shiratani, K. Koga, Cross correlation analysis of fluctuation of interactions between nanoparticles and low pressure reactive plasmas (Invited), 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
481. N. Miyahara, L. Shi, K. Iwasaki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room Temperature Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated by RF magnetron sputtering, 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
482. K. Koga, M. Shiratani, Challenge to precise control of chemical bond configuration in plasma CVD films, RUB Japan Science Days 2018, 2018.07.
483. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of gas velocity dependence of Si-H2 bond density at P/I interface of a-Si:H layered films deposited by multi-hollow discharge plasma CVD., Workshop "Plasma surface interaction for technological applications" , 2018.06.
484. A. Yamamoto, S. Tanida, S. Toko, K. Koga, M. Shiratani, Methanation of CO2 using low temperature and catalyst , Workshop "Plasma surface interaction for technological applications" , 2018.06.
485. K. Koga, M. Shiratani, Control of synthesis and deposition of nanoparticles using a multi-hollow discharge plasma CVD , Workshop "Plasma surface interaction for technological applications" , 2018.06.
486. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 28th Symposium on Plasma Physics and Technology (SPPT), 2018.06.
487. A. Yamamoto, S. Tanida, S. Toko, K. Koga, M. Shiratani, Effect of gas flow rate on plasma-catalytic methanation reaction, 28th Symposium on Plasma Physics and Technology (SPPT), 2018.06.
488. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, High energy leverage method on growth enhancement of bio-mass plants using plasma seed treatment, 7th International Conference on Plasma Medicine (ICPM-7), 2018.06.
489. 田中和真, 原尚志, 石榴, 永石翔大, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, a‐Si:H 薄膜への高次シラン取り込みとSiネットワーク秩序性の関係, 平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会), 2018.06.
490. 石榴, 田中和真, 原尚志, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVD法で作製したa-Si:H 膜のSiH2/SiH 結合密度比の2次元分布, 平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会), 2018.06.
491. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, A deep insight of plasma-nanoparticle interaction, 19th International Congress on Plasma Physics, 2018.06.
492. 古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 水素原子源付プラズマCVD法に任意電圧波形を併用したa-C:H薄膜の堆積, 第65回応用物理学会春季学術講演会, 2018.03.
493. 宮原奈乃華, 岩崎和也, 石榴, 山下大輔, 中村大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーにより作製した(ZnO)0.73(InN)0.27のフォトルミネッセンス, 第65回応用物理学会春季学術講演会, 2018.03.
494. 古閑一憲, 和田陽介, 佐藤僚哉, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 大気圧空気誘電体バリア放電プラズマを照射したカイワレ種子の電子スピン共鳴分光, 第65回応用物理学会春季学術講演会, 2018.03.
495. 板垣奈穂, 岩崎和也, 古閑一憲, 白谷正治, 格子整合条件を超えて原子平坦表面を実現する新規スパッタエピタキシー技術の開発~ZnO on sapphireを例に~(招待講演), 第65回応用物理学会春季学術講演会, 2018.03.
496. 都甲将, 谷田知史, 山本瑛久, 古閑一憲, 白谷正治, プラズマ-触媒併用型二酸化炭素メタン変換における律速段階, 第65回応用物理学会春季学術講演会, 2018.03.
497. 古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 任意電圧波形を用いたC7H8+Ar+H2プラズマ生成, 平成30年電気学会全国大会, 2018.03.
498. 白谷正治, 方トウジュン, 山木健司, 徐鉉雄, 板垣奈穂, 古閑一憲, カーボン薄膜の選択プラズマCVD, 平成30年電気学会全国大会, 2018.03.
499. M. Shiratani, K. Koga, Impact of Plasma Agriculture on Global Vegetation Biomass, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
500. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of RONS Dose on Plasma Induced Growth Enhancement of Radish Sprout, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
501. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Number Density of Seeds on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
502. V. Mildaziene, R. Zukiene, L. Degutytė-Fomins, G. Pauzaite, J. Ziauka, K. Koga, M. Shiratani, Cold Plasma Treatment Induces Changes in Seed Hormone Content and Explant Growth , 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
503. M. Shiratani, H. Seo, N. Itagaki, K. Koga, IoT-oriented solar cells fabricated using plasma-based nanotechnology (Invited), 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
504. K. Yamaki, T. Fang, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, A tailored voltage waveform plasma CVD method for carbon film deposition, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
505. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Coupling of Interaction Fluctuation between Reactive Plasmas and Nanoparticles, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
506. H. Ohta, K. Takahashi, Jun-Seok Oh, K. Koga, T. Nakatani, M. Ito, M. Shiratani, K. Yonezawa, Pressure Gradient Sputtering to Achieve High Deposition Rate for Metal Thin Film, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
507. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Thin film deposition using low temperature plasmas: past, present, and future (Plenary), 4th International Workshop on Advanced Plasma Technology and Applications (The 4th IWAPTA workshop), 2018.02.
508. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Plasma Enhanced Carbon Recycling for Large-Scale Introduction of Solar Cells to Energy Supply Chain, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
509. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, K. Takenaka, Y. Setsuhara, Plasma CVD of a-C:H films as protective layers for solar cells (Invited), 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
510. H. Seo, D. Sakamoto, B. Zhang, N. Itagaki, K. Koga, M. Shiratani, Strategy for the commercialization of dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
511. B. Zhang, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of additive on catalytic enhancement of polymer counter electrode in dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
512. D. Sakamoto, B. Zhang, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on photovoltaic performance of dye-sensitized solar cells by applying up-conversion Y2O3:Er3 + nanoparticles, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
513. K. Tanaka, H. Hara, S. Toko, T. Kojima, S. Nagaishi, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
514. A. Yamamoto, S. Toko, S. Tanida, K. Koga, M. Shiratani, Reduction of activation energy of CO2 methanation reaction using plasma-catalytic method, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
515. S. Toko, T. Kojima, K. Koga, M. Shiratani, Utilizing cluster cloud as a selective filter of deposition precursors of aSi:H films, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
516. 谷田知史, 都甲将, 山本瑛久, 古閑一憲, 白谷正治, 非平衡プラズマを用いたカーボンリサイクルによるエネルギー貯蔵, 九州大学エネルギーウィーク2018, 2018.01.
517. 大井手芳徳, 古閑一憲, 白谷正治, 田原祐助, 都甲潔, プラズマ照射リンゴの味覚変化, 第3回味覚センサシンポジウム, 2018.01.
518. K. Koga, G. Uchida, M. Shiratani, Towards ultra-high capacity batteries, Joint workshop btw SKKU and Kyushu University Emerging materials and devices, 2018.01.
519. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, A new insight into nanoparticle-plasma interactions (Invited), JP-KO dust workshop, 2017.12.
520. 大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Ar プラズマ中で光捕捉した微粒子を用いたプラズマ揺動の評価, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
521. 田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD で作製した a-Si:H 薄膜の Si ネットワーク秩序性向上, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
522. 村岡宗一郎, 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2 スパッタリングによる高品質 ZnO 膜の作製:基板温度の影響, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
523. 永石翔大, 小島尚, 田中和真, 原尚志, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+N2マルチホロー放電プラズマ CVD による SiN 系膜の作製, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
524. 竹内一登, 宮原奈乃華, 石榴, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによる高品質(ZnO)0.8(InN)0.2薄膜の作製:スパッタリングガス圧力の影響, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
525. 原尚志, 田中和真, 小島尚, 永石翔大, 都甲将, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD で作製した a-Si:H 積層膜の P/I 界面 SiH2結合量の基板温度依存性, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
526. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, Evaluation of coupling among interaction fluctuations in nanoparticle growth in reactive plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
527. M. Shiratani, K. Koga, Fine Particle Plasma and Plasma Process (Invited), 18th Workshop on Fine Particle Plasmas, 2017.12.
528. H. Ohtomo, K. Mori, R. Zhou, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Fluctuation in Capacitively Coupled Low Pressure Ar Plasma Using Optical Tweezers, 18th Workshop on Fine Particle Plasmas, 2017.12.
529. T. Kojima, K. Tanaka, S. Nagaishi, H. Hara, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cloud of a-Si:H Clusters as Selective Filter in SiH4 Multi-Hollow Discharge Plasma, 18th Workshop on Fine Particle Plasmas, 2017.12.
530. K. Mori, R. Zhou, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cross-spectrum Analysis of Interaction Fluctuation between Plasma and Nanoparticles in Capacitively-Coupled RF Discharge Reactive Plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
531. K. Koga, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Deposition of High Quality Silicon Thin Films Utilizing Nanoparticles Trapped in Plasmas, 27th annual meeting of MRS-J, 2017.12.
532. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Number Density of Seeds on Plasma Induced Plant Growth Enhancement, 27th annual meeting of MRS-J, 2017.12.
533. N. Itagaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Fabrication of High-Mobility Nanocrystal-Free a-In2O3:Sn Films by Magnetron Sputtering with Impurity-Mediated Amorphization Method, 27th annual meeting of MRS-J, 2017.12.
534. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Advanced plasma process for next-generation photovoltaics, 27th annual meeting of MRS-J, 2017.12.
535. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation Analysis of An Optical Trapped Fine Particle in Ar Plasma, 27th annual meeting of MRS-J, 2017.12.
536. M. Shiratani, K. Koga, Impact of Plasma Processing of Agricautural Food on Taste and Food Quality (Invited), The 10th EU-Japan Joint Symposium on Plasma Processing (JSPP2017), 2017.12.
537. 坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 中村大輔, Y2O3:Er のアップコンバージョン特性と太陽電池への応用, 2017年度応用物理学会九州支部学術講演会, 2017.12.
538. 佐藤僚哉, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 種子へのプラズマ照射のカイワレ大根の長さ分布に対する効果 , 2017年度応用物理学会九州支部学術講演会, 2017.12.
539. 山本瑛久, 都甲将, 谷田知史, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 低圧プラズマを用いた CO2 のメタン化反応の活性化エネルギー , 2017年度応用物理学会九州支部学術講演会, 2017.12.
540. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gap between Electrodes and Seeds on Plasma Induced Plant Growth Enhancement, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
541. L. Shi, N. Miyahara, D. Yamashita, H. Seo, J. Lyu, K. Koga, M. Shiratani, N. Itagaki, Effects of Gas Pressure on Crystal Quality of ZION Films Fabricated by RF Magnetron Sputtering, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
542. K. Imoto, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering Growth of SiC Films on Si(111) Substrates by using Impurity Mediated Crystallization Method, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
543. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Flow Velocity on Plant Growth of Radish Sprout, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
544. M. Shiratani, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, Growth Enhancement of Sorghum by Plasma Irradiation to The Seeds, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
545. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Correlation analysis between high energy electrons and nanoparticles in AM CCP, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
546. N. Miyahara, K. Iwasaki, L. Shi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated on sapphire substrates, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
547. 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池のポリマー対向電極における触媒反応の活性化(招待講演), 電子情報通信学会有機エレクトロニクス研究会, 2017.12.
548. S. Tanida, S. Toko, A. Yamamoto, K. Koga, and M. Shiratani, Effects of electrode material on low pressure plasma-catalytic CO2 methanation, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
549. 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタ法によるSi(111)基板上への高品質ZnO膜の作製:窒素添加バッファー層の効果 , Plasma Conference 2017, 2017.11.
550. 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 植物種子の吸水に与える大気圧プラズマ照射の効果, Plasma Conference 2017, 2017.11.
551. 古閑一憲, 白谷正治, 植物種子へのプラズマ照射効果による成長促進とその機序(シンポジウム講演), Plasma Conference 2017, 2017.11.
552. 白谷正治, 古閑一憲, 未来の食を支えるプラズマ農業技術への挑戦(シンポジウム講演), Plasma Conference 2017, 2017.11.
553. 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 基板温度制御によるa-Si:H薄膜のSiネットワーク秩序性の向上, Plasma Conference 2017, 2017.11.
554. 大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットを用いたArプラズマと単一微粒子との相互作用解析, Plasma Conference 2017, 2017.11.
555. 周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎのクロスコリレーション解析区間の比較, Plasma Conference 2017, 2017.11.
556. 川崎敏之, 足立拓也, 阿南翔太, 武井彰汰, 別宮竜之介, 山ノ内翔太, 伊東巧, 古閑一憲, 白谷正治, プラズマ照射によって液体中に誘起される流れの定量解析, Plasma Conference 2017, 2017.11.
557. 山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマプロセスにおけるtailored voltage waveformsの使用に向けての設計及びマッチング, Plasma Conference 2017, 2017.11.
558. K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Development of a fine particle transport analyzer for processing plasmas, The 39th International Symposium on Dry Process (DPS 2017), 2017.11.
559. 古閑一憲, 反応性プラズマを用いた物質機能の初期階層形成, 第33回九州・山口プラズマ研究会, 2017.11.
560. S. Tanida, S. Toko, K. Koga, A. Yamamoto, M. Shiratani, Carbon Recycling using Low Temperature Plasma with Activated Catalysts, The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
561. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Nanoparticle Composite Films: Fabrication and Functions (Invited), The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
562. H. Ohtomo, K. Mori, Z. Ren, D. Yamashita H. Seo, N. Itagaki, K. Koga, M. Shiratani, An Analysis on a Kinetic Motion of Optical Trapped Single Fine Particle in Ar Plasma, The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
563. K. Koga, S. Toko, S. Tanida, M. Shiratani, Surface-driven CH4 generation from CO2 in Low-pressure Non-thermal Plasma, American Vacuum Society 64th International Symposium and Exhibition (AVS64), 2017.10.
564. 古閑一憲, 都甲将, 谷田知史, 白谷正治, 細田聡史, 星野健, 火星上CO2のCH4資源化のための低温低圧プラズマ触媒プロセス, 第61回宇宙科学技術連合講演会, 2017.10.
565. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Novel Fabrication Methods of Thin Films using Low Temperature Plasmas (Invited), Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
566. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of gas flow rate ratio on structure of a-C:H films deposited using Ar + H2+ C7H8 plasma CVD, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
567. N. Miyahara, K. Iwasaki, L. Shi, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from epitaxial (ZnO)x(InN)1-x films on sapphire substrates fabricated by RF magnetron sputtering, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
568. 古閑一憲, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, スケーラブルDBDプラズマのRONS照射量に対する空気流れの効果, 平成29年度(第70回)電気・情報関係学会九州支部連合大会, 2017.09.
569. 足立拓也, 阿南翔太, 山ノ内翔太, 内田儀一朗, 竹中弘祐, 古閑一憲, 節原裕一, 白谷正治, 川崎敏之, プラズマジェットによって液状ターゲット表面に供給されるROSの二次元分布に周囲ガスが与える影響, 平成29年度(第70回)電気・情報関係学会九州支部連合大会, 2017.09.
570. 伊東巧, 武井彰汰, 別宮竜乃介, 古閑一憲, 白谷正治, 川崎敏之, プラズマ照射によって液状媒体深さ方向に供給されるROSの同定への試み, 平成29年度(第70回)電気・情報関係学会九州支部連合大会, 2017.09.
571. 武井彰汰, 古閑一憲, 白谷正治, 川崎敏之, プラズマ照射による模擬生体内ROS透過率の推定, 平成29年度(第70回)電気・情報関係学会九州支部連合大会, 2017.09.
572. 後藤典宏, 北﨑訓, 田中昭代, 平田美由紀, 中津可道, 古閑一憲, 白谷正治, 大気圧Heプラズマ照射によるヘアレスマウス皮膚への影響評価, 平成29年度(第70回)電気・情報関係学会九州支部連合大会, 2017.09.
573. M. Shiratani, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga , Local Fluctuations of Plasma Detected with an Optically Trapped Fine Particle , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
574. T. Fang, K. Yamaki, K .Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effect of Gas flow rate ratio on the structure and properties of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
575. K. Tanaka, T. Kojima, S. Toko, D. Yamashita, Hy. Seo, N. Itagaki, K.Koga, M. Shiratani, Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells fabricated by plasma CVD (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
576. K. Koga, S. Toko, M. Shiratani , Hysteresis in Plasma CVD: a new path for high quality film deposition, 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
577. B. Zhang, D. Sakamoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer counter electrodes of dye-sensitized solar cells by isooctylphenoxy-polyethoxyethanol addition, 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
578. M. Shiratani, H. Seo, N. Itagaki, K. Koga, Spatial Structure of Interactions between Nanoparticles and Low Pressure Plasmas (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
579. 田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治, インジウムナノ粒子と三酸化インジウムのラット気管内投与による体内動態, 58回大気環境学会年会, 2017.09.
580. 大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Arプラズマ中で光捕捉された単一微粒子の運動解析, 第78回応用物理学会秋季学術講演会, 2017.09.
581. 田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, P/I界面のSi-H2結合形成に対する基板温度の効果, 第78回応用物理学会秋季学術講演会, 2017.09.
582. 石榴, 宮原奈乃華, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法で作製したZION薄膜の結晶性に及ぼすガス圧力の影響, 第78回応用物理学会秋季学術講演会, 2017.09.
583. 宮原奈乃華, 岩崎和也, 石榴, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板上へのIn-N rich (ZnO)x(InN)1-x膜の作製, 第78回応用物理学会秋季学術講演会, 2017.09.
584. 井本幸希, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 不純物添加法を用いたSi(111)基板上へのSiC薄膜成長, 第78回応用物理学会秋季学術講演会, 2017.09.
585. 内田儀一郎, 古閑一憲, 白谷正治, 神原淳, 結晶Geナノ粒子膜の堆積とそのLiイオン電池への応用, 第78回応用物理学会秋季学術講演会, 2017.09.
586. 古閑一憲, 和田陽介, 徐鉉雄, 板垣奈穂, 白谷正治, 橋本昌隆, 小島昌治, プラズマ照射した種籾への催芽処理の効果, 第78回応用物理学会秋季学術講演会, 2017.09.
587. 白谷正治, 小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, スペクトル解析によるプラズマ中クラスター挙動の分類, 第78回応用物理学会秋季学術講演会, 2017.09.
588. 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ジャガイモの生育に対するプラズマ照射時間の影響, 第78回応用物理学会秋季学術講演会, 2017.09.
589. 小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 高圧マルチホロー放電プラズマCVD下流におけるラジカル成膜速度の時間変化, 第78回応用物理学会秋季学術講演会, 2017.09.
590. 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタリング法を用いたSi(111)基板上への高品質ZnO薄膜の作製, 第78回応用物理学会秋季学術講演会, 2017.09.
591. 呂佳豪, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法による格子不整合基板上へのZnOエピタキシャル成長―窒素酸素共添加バッファー層の効果―, 第78回応用物理学会秋季学術講演会, 2017.09.
592. 周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎの相関関係解析, 第78回応用物理学会秋季学術講演会, 2017.09.
593. 森研人, 周靭, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中ナノ粒子とプラズマの相互作用ゆらぎの起因解明, 第78回応用物理学会秋季学術講演会, 2017.09.
594. 都甲将, 谷田知史, 古閑一憲, 白谷正治, プラズマを用いた二酸化炭素のメタン化における電極表面の影響, 第78回応用物理学会秋季学術講演会, 2017.09.
595. 大井手芳徳, 古閑一憲, 白谷正治, 田原祐助, 都甲潔, 大気圧DBDプラズマ照射したリンゴの味評価, 第78回応用物理学会秋季学術講演会, 2017.09.
596. 谷田知史, 都甲将, 山本瑛久, 古閑一憲, 白谷正治, 容量結合型プラズマを用いたCO2メタン化におけるCO2変換率のガス流量依存性, 第78回応用物理学会秋季学術講演会, 2017.09.
597. 板垣奈穂, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 不純物添加スパッタリング法による高移動度・ナノ結晶フリー a-In2O3:Sn薄膜の作製, 第78回応用物理学会秋季学術講演会, 2017.09.
598. 徐鉉雄, 坂本大輔, 張博辰, 板垣奈穂, 古閑一憲, 白谷正治, ポリマーナノコンポジットを用いた量子ドット増感太陽電池の対向電極, 第78回応用物理学会秋季学術講演会, 2017.09.
599. 坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池におけるポリマー対向電極へのシリコンナノ粒子添加効果, 第78回応用物理学会秋季学術講演会, 2017.09.
600. 張博辰, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池のポリマー対向電極の触媒能のTriton X-100添加による向上, 第78回応用物理学会秋季学術講演会, 2017.09.
601. E. Leal-Quiros, S. Banerjee, J.M.Contreras, K. Koga, M. Shiratani, F. Prakshi, S. Montesinos,Y. Alcantara, F. Avendano, Non-thermal atmospheric-pressure plasma activation of herbal seeds indicating the acceleration of the plant’s germination period (OIM1), 16th Latin American Workshop on Plasma Physics, 2017.09.
602. S. Toko, S. Tanida, K. Koga, M. Shiratani, Effects of H2 flow rate on methanation of CO2 using low pressure nonthermal plasma, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.09.
603. T. Kawasaki, K. Koga, M. Shiratani, Storage and Transportation of Reactive Oxygen Species in Tissue Phantom Irradiated with Non-thermal Plasma Jet, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.09.
604. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, M. Shiratani , A Theoretical Model for Time Evolution of Radical Deposition Rate in Silane Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
605. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, Effects of bias voltage on the surface morphology of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
606. N. Miyahara, K. Matsushima, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of surface flat (ZnO)x(InN)1-x films on 3%-lattice-mismatched a-sapphire substrates, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
607. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Next Generation Photovoltaics Based on Si Nano-particles Fabricated by Advanced Plasma Process, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
608. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Optical Trapping Process of Single Fine Particle in Ar Plasma, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
609. K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatiotemporal structure of fluctuation of interactions between plasmas and nanoparticles in capacitively-coupled rf discharge reactive plasmas, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
610. Y. Oide, T. Sarinont, R. Katayama, Y. Wada, T. Kawasaki, D. Yamashita, H. Seo, N. Itagaki, P. Attri, E. H. Choi, A. Tanaka, K. Koga, M. Shiratani, Two-dimensional profile of RONS dose irradiated with a scalable DBD device, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
611. Y. Wada, T. Sarinont, Y. Oide, K. Koga, M. Shiratani, Growth enhancement of radish sprout using plasma activated river water and falls water, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
612. N. Itagaki, T. Takasaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani , Fabrication of High-Mobility Amorphous In2O3:Sn Films by RF Magnetron Sputtering with Impurity-Mediated Amorphization Method (Keynote), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
613. K. Tanaka, S. Toko, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Film thickness dependence of structure of a-Si:H thin films measured by Raman spectroscopy, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
614. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Single Crystalline ZnO-Based Semiconductors on Lattice Mismatched Substrates (Invited), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
615. H. Seo, D. Sakamoto, H. Chou, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement of Polymer Counter Electrode of Photochemical Photovoltaics based on Nano-particle Application, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
616. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Pit-Free Single Crystalline ZnO Films on Lattice Mismatched Substrates, 29th International Conference on Defects in Semiconductors (ICDS2017), 2017.08.
617. M. Shiratani, T. Takasaki, H. Wang, K. Matsushima, H. Seo, K. Koga, K. Takeda, M. Hori, and N. Itagaki , Measurements of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
618. S. Toko, S. Tanida, K. Koga, M. Shiratani, Rise time of Sabatier process using low pressure and low temperature plasma , International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
619. S. Toko, K. Tanaka, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells deposited by multi-hollow discharge plasma CVD , International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
620. 田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治, インジウムナノ粒子のラット気管投与による体内動態, 第26回日本微量元素学会学術集会, 2017.07.
621. M. Shiratani, S. Toko, S. Tanida, K. Koga, Carbon recycling using Cu catalyst together with low pressure capacitively-coupled plasma, 2017 International Forum on Functional Materials (IFFM2017) , 2017.06.
622. 田中和真, 小島尚, 都甲将, ⼭下⼤輔, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治, a‐Si:H 薄膜中の Si ネットワーク秩序性のラマン分光法を⽤いた評価, 平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会), 2017.06.
623. 坂本⼤輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治, ⾊素増感太陽電池におけるナノ粒⼦を⽤いた表⾯改質によるポリマー触媒の反応活性化, 平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会), 2017.06.
624. K. Koga, H. Seo, A. Tanaka, N. Itagaki, M. Shiratani, Synthesis of Nanoparticles Using Low Temperature Plasmas and Its Application to Solar Cells and Tracers in Living Body (Invited), 231st Meeting of Electrochemical Society (ECS), 2017.05.
625. 川崎敏之, 古閑一憲, 白谷正治, 内田儀一郎, 竹中弘祐, 節原裕一, プラズマジェット照射による酸化反応の KI-デンプン試薬による二次元分布可視化(受賞講演), 大阪大学接合科学研究所第14回産学連携シンポジウム , 2017.05.
626. 古閑一憲, 徐鉉雄, 板垣奈穂, 白谷正治, 低温プラズマによるナノ粒子の合成と太陽電池への応用 , 電子情報通信学会有機エレクトロニクス研究会, 2017.04.
627. 田中昭代, 北崎訓, 平田美由紀, 中津可道, 古閑一憲, 白谷正治, 大気圧低温プラズマのヘアレスマウス皮膚照射による影響評価, 第87回日本衛生学会学術総会, 2017.03.
628. 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマとナノ粒子の相互作用ゆらぎのモード間相関, 日本物理学会第72回年次大会(2017年), 2017.03.
629. 白谷正治, 田浪荘汰, 坂本大輔, 張博辰, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, スパッタ支援層交換で作製したポリイミド基板上結晶Ge薄膜の膜質 , 第64回応用物理学会春季学術講演会, 2017.03.
630. 都甲将, 田中和真, 小島尚, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, a-Si:H太陽電池P/I界面におけるSi-H2結合評価, 第64回応用物理学会春季学術講演会, 2017.03.
631. 松島宏一, 宮原奈乃華, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜のフォトルミネッセンス, 第64回応用物理学会春季学術講演会, 2017.03.
632. 都甲将, 谷田知史, 古閑一憲, 白谷正治, プラズマを用いたCO2 のメタン化反応の考察, 第64回応用物理学会春季学術講演会, 2017.03.
633. 白谷正治, 片山龍, 北﨑訓, T. Sarinont, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Attri Pankaj, Eun Ha Choi, 田中昭代, 大気圧DBD装置の活性種照射量の放電電力密度依存性, 第64回応用物理学会春季学術講演会, 2017.03.
634. M. Shiratani, T. Sarinont, Y. Wada, R. Katayama, Y. Oide, K. Koga, Effects of atmospheric pressure plasma to various plant families on plant growth enhancement, 第64回応用物理学会春季学術講演会, 2017.03.
635. 徐鉉雄, 坂本大輔, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池の触媒としてのポリマーナノコンポジット, 第64回応用物理学会春季学術講演会, 2017.03.
636. 宮原奈乃華, 松島宏一, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタにより格子不整合基板上に作製した表面平坦ZION薄膜, 第64回応用物理学会春季学術講演会, 2017.03.
637. 都甲将, 小島尚, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD下流におけるラジカル製膜速度と膜中クラスター混入量の動的振る舞い, 第64回応用物理学会春季学術講演会, 2017.03.
638. K. Koga, K. Mori, H. Seo, N. Itagaki, M. Shiratani, Corrational study of fluctuation of coupling between plasmas and nanoparticles, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
639. S. Tanida, S. Toko, R. Katayama, K. Koga, M. Shiratani, Time evolution of CH4 yield in methanation of CO2 using helicon discharge plasma, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
640. T. Kawasaki, G. Kuroeda, R. Sei, M. Yamaguchi, R. Yoshinaga, R. Yamashita, H. Tasaki, K. Koga, M. Shiratani , Transportation of reative oxygen species through a tissue phantom by plasmajet irradiation , 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
641. K. Koga, P. Attri, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Comparision of Gamma irradation and scalable DBD on the declorization of Dyes, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
642. K. Koga, A. Tanaka, M. Hirata, T. Amano, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Long-term evaluation of In nanoparticle transport in living body, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
643. 古閑一憲, 九州大学における反応性プラズマ精密制御CVD法の紹介(招待講演), 第1回産学共同研究検討会, 2017.01.
644. M. Shiratani, T. Sarinont, Y. Wada, K. Koga, Low Temperature Air Plasma Irradiation to Rice Seeds, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
645. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2/Si-H bond ratio at P/I interface, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
646. S. Toko, S. Tanida, K. Koga, M. Shiratani, Theoretical Consideration on Methane Production Using Plasma on Mars, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
647. A. Yonesu, K. Koga, M. Shiratani, N. Hayashi, Influence of Plasma Irradiation on Silkworm , 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
648. D. Sakamoto, H. Chou, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Si Nanoparticles Fabricated by Multi-hollow Discharge Plasma Chemical Vapor Deposition and their Photovoltaic Application, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
649. K. Koga, K. Mori, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time evolution of cross-correlation between two fluctuations of couplings between plasmas and nanoparticles in amplitude modulated discharges , 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
650. K. Koga, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, Dependence of amount of plasma activated water on growth enhancement of radish sprout, 26th annual meeting of MRS-J, 2016.12.
651. M. Shiratani, T. Sarinont, P. Attri, K. Koga, Comparison of biological effects between plasma and gamma-ray radiation, 26th annual meeting of MRS-J, 2016.12.
652. Y. Nakatsu, N. Takano, M. Ohno, S. Kitazaki, K. Koga, A. Tanaka, M. Shiratani, T. Tsuzuki, Analyses of Oxidative Mutagenesis and Carcinogenesis Using Genetically Modified Mice: Application to Plasma Medicine (Invited), 26th annual meeting of MRS-J, 2016.12.
653. T. Sarinont, Y. Wada, R. Katayama, K. Koga, M. Shiratani, Effects of plasma irradiation on growth of wheat and melon, 26th annual meeting of MRS-J, 2016.12.
654. Y. Wada, T. Sarinont, R. Katayama, K. Koga, M. Shiratani, Stimulation of germinability of seeds under various gas plasma activated water, 26th annual meeting of MRS-J, 2016.12.
655. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara , DC bias voltage dependence of dust transport in a compact dust trajectory analyzer, 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
656. N. Itagaki, K. Matsushima, D. Ymashita, H. Seo, K. Koga, M. Shiratani, Sputtering growth of (ZnO)x(InN)1-x semiconductor: a ZnO-based compound with bandgap tunability over the entire visible spectrum (Invited), 26th annual meeting of MRS-J, 2016.12.
657. S. Toko, R. Katayama, S. Tanida, K. Koga, M. Shiratani, Discharge power dependence of methanation of CO2 under low pressure, 26th annual meeting of MRS-J, 2016.12.
658. H. Ohtomo, M. Soejima, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Characteristic length of position fluctuation of a single fine particle optically trapped in Ar plasma, 26th annual meeting of MRS-J, 2016.12.
659. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of internal resistance by the quantity of Si nanoparticles on polymer counter electrode in dye-sensitized solar cells, 26th annual meeting of MRS-J, 2016.12.
660. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of bias voltage on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 26th annual meeting of MRS-J, 2016.12.
661. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, Effects of electrostatic field direction on dust accumulation to mirror in a plasma reactor, 26th annual meeting of MRS-J, 2016.12.
662. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of modulation frequency on interaction fluctuation between plasma and nanoparticles, 26th annual meeting of MRS-J, 2016.12.
663. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2 bond formation at P/I interface, 26th annual meeting of MRS-J, 2016.12.
664. N. Miyahara, T. Ide, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Effects of Sputtering Gas Composition on Properties of ZnO Prepared by Nitrogen Mediated Crystallization Method, 26th annual meeting of MRS-J, 2016.12.
665. T. Takasaki, H. Wang, N. Miyahara, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, High-mobility transparent amorphous In2O3:Ge films fabricated by impurity mediated amorphization method, 26th annual meeting of MRS-J, 2016.12.
666. M. Soejima, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Interaction potential fluctuation between binary collisions of fine particles suspended in low pressure Ar rf plasmas, 26th annual meeting of MRS-J, 2016.12.
667. S. Tanami, Y. Oide, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Properties of Au-induced layer-exchange crystalline Ge formed by sputtering deposition, 26th annual meeting of MRS-J, 2016.12.
668. K. Tanaka, T. Kojima, K. Keya, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatial distribution of Si-H2 bond density in a-Si:H film evaluated by microscopic FTIR, 26th annual meeting of MRS-J, 2016.12.
669. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Time evolution of electron temperature and amount of cluster incorporation into films in SiH4 multi-hollow plasma CVD, 26th annual meeting of MRS-J, 2016.12.
670. 都甲将, 谷田知史, 古閑一憲, 白谷正治, プラズマを用いたサバティエ反応によるCH4生成速度の理論的検討, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
671. 高崎俊行, 王寒, 松島宏一, 竹田圭吾, 堀勝, 古閑一憲, 白谷正治, 板垣奈穂, アモルファスITO成膜用Ar/N2スパッタプラズマ中の窒素原子密度の測定, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
672. 毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で製膜されたPIN a-Si:H太陽電池の安定性に界面が及ぼす影響, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
673. 和田陽介, サリノント タパナット, 片山龍, 古閑一憲, 白谷正治, シロイヌナズナ種子へのプラズマ照射効果に対する前処理の影響, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
674. サリノント タパナット, 和田陽介, 片山龍, 古閑一憲, 白谷正治, 大気圧DBDプラズマによる植物収穫量の向上, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
675. 谷田知史, 都甲将, 古閑一憲, 白谷正治, 容量結合RFプラズマを用いた低温低圧下におけるCO2のメタン化, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
676. 王寒, 高崎俊行, 松島宏一, 岩崎和也, 宮原奈及華, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2 スパッタリングプラズマにより作製したアモルファスITO膜の表面形状, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
677. 宮原奈乃華, 井手智章, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2/O2スパッタによるZnO薄膜の高品質エピタキシャル成長, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
678. 方韜鈞, 山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Ar+H2+C7H8プラズマCVD法におけるアモルファス水素化炭素膜に対する水素流量比の影響, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
679. 大井手芳徳, 片山龍, サリノント タパナット, 和田陽介, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Scalable DBDによるRONS照射量の二次元分布, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
680. 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタ製膜圧力が窒素添加ZnO膜の表面形状に与える影響, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
681. 坂本大輔, 張博辰, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVDにより作製されたナノ粒子を用いることによるポリマー薄膜の性能向上, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
682. 張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマプロセスによって作成されたSiナノ粒子の光電変換特性, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
683. 大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中微粒子の光捕捉, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
684. 添島雅大, 大友洋, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中微粒子の相互作用計測, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
685. 田浪荘汰, 坂本大輔, 張博辰, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ポリイミドフィルム上へのプラズマ支援Au誘起低温高速層交換Ge結晶成長, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
686. 小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD下流領域におけるクラスター輸送量と膜中クラスター混入量の関係, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
687. 田中和真, 毛屋公孝, 都甲将, 小島尚, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で製膜されたa-Si:H薄膜のSi-H2結合密度の面内分布, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
688. 片山龍, サリノント タパナット, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Pankaj Attri, 田中昭代, 白谷正治, 大気圧DBDジェット装置のRONS照射量比較実験, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
689. 森研人, 添島雅大, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
690. M. Shiratani, X. Dong, H. Seo, N. Itagaki, K. Koga, Plasma anisotropic CVD of carbon films from toluene (Invited), 6th International Conference on Advanced Plasma Technologies (ICAPT-6), 2016.12.
691. K. Koga, T. Amano, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, A. Tanaka, Y. Nakatsu, T. Kondo, Comparative study on death of cells irradiated by non-thermal plasma, X-ray, and UV, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
692. H. Seo, D. Sakamoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic characteristics and photovoltaic application of polymer nano-composite, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
693. 和田陽介, サリノント タパナット, 片山龍, 古閑一憲, 白谷正治, 大気圧 DBDプラズマを照射した水のRONS濃度の水量依存性, 平成28年度応用物理学会九州支部学術講演会, 2016.12.
694. 黒枝剛哉, 清竜平, 山口真央, 吉永怜史, 山下莉穂, 田崎光, 川崎敏之, 内田儀一郎, 古閑一憲, 白谷正治, 大気圧プラズマジェット照射による模擬生体中へのROSの供給, 平成28年度応用物理学会九州支部学術講演会, 2016.12.
695. 都甲将, 谷田知史, 古閑一憲, 白谷正治, 低圧プラズマによるCO2変換への放電電力・ガス滞在時間の効果, 平成28年度応用物理学会九州支部学術講演会, 2016.12.
696. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse discharge on cluster transport in downstream region of multi-hollow discharge CVD plasma, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
697. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement of fill factor (FF) of dye-sensitized solar cell by means of counter polymer electrode containing Si nanoparticles, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
698. 坂本大輔, 張博辰, 大井手芳徳, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, PEDOT:PSS 薄膜の導電性向上, 平成28年度応用物理学会九州支部学術講演会, 2016.12.
699. 小島尚, 都甲将, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , マルチホロー放電プラズマ中のクラスターサイズ・密度の放電時間依存性, 平成28年度応用物理学会九州支部学術講演会, 2016.12.
700. Y. Wada, T. Sarinont, R. Katayama, K. Koga, M. Shiratani, Effects of atmospheric air plasma irradiation to a part of seeds on growth of plants, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
701. 古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, 小型ダスト飛跡分析装置を用いたミラー上ダスト堆積抑制の検討, 第33回プラズマ・核融合学会年会, 2016.12.
702. K. Matsushima, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Blue and Green Photoluminescence of (ZnO)0.92(InN)0.08, 2016 MRS Fall Meeting & Exhibit , 2016.12.
703. K. Iwasaki, T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growth of Single Crystalline ZnO Films on Sapphire Substrates, 2016 MRS Fall Meeting & Exhibit , 2016.12.
704. 古閑一憲, 森研人, 徐鉉雄, 板垣奈穂, 白谷正治 , プラズマとナノ粒子の相互作用ゆらぎの2次元空間構造の時間発展, 第33回プラズマ・核融合学会年会, 2016.11.
705. 古閑一憲 , プラズマCVDを用いた高効率低劣化Si薄膜太陽電池の作製(招待講演), 第33回プラズマ・核融合学会年会, 2016.11.
706. T. Sarinont, K. Koga, M. Shiratani, Plant Growth Enhancement of Seeds Immersed in Plasma Activated Water, 2016 MRS Fall Meeting & Exhibit , 2016.11.
707. 古閑一憲, プラズマ計測・診断 -反応性プラズマ中微粒子を中心として- (招待講演), 第27回プラズマエレクトロニクス講習会, 2016.11.
708. K. Koga, T. Sarinont, M. Shiratani, Control of Plant Growth by RONS Produced Using Nonthermal Atmospheric Air Plasma , American Vacuum Society 63rd International Symposium and Exhibition (AVS63), 2016.11.
709. D. Sakamoto, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of particle size on catalytic characteristics of polymer counter electrode containing Si nanoparticles in dye-sensitized solar cells, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
710. T. Takasaki, H. Seo, N. Itagaki, K. Koga, and M. Shiratani , Effect of photocatalyst TiO2 on the long-term stability of dye-sensitized solar cells , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
711. D. Sakamoto, H. Seo, S. Tanami, Y. Oide, N. Itagaki, K. Koga, M. Shiratani, Low cost dye-sensitized solar cells based on polymer composite catalyst, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
712. T. Takasaki, W. Han, K. Iwasaki, K. Matsushima,D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room temperature fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization method , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
713. M. Shiratani, T. Sarinont, K. Koga, Advantages of Plasma Agriculture, The First International Conference on Hybridized Agriculture(HA2016), 2016.10.
714. K. Koga, R. Katayama, T. Sarinont, H. Seo, N. Itagaki, P. Attri, E. L. Quiros, .A. Tanaka, M. Shiratani, Comparative study of non-thermal atmospheric pressure discharge plasmas for life science applications, 69th Annual Gaseous Electronics Conference (GEC2016), 2016.10.
715. 川崎敏之, 内田儀一郎, 古閑一憲, 白谷正治, 大気圧プラズマジェット照射によって模擬生体内を輸送された ROS の二次元濃度分布, 第40回静電気学会全国大会, 2016.09.
716. H. Seo, D. Sakamoto, C. V. V. M. Gopi, M. Kim, H. J. Kim, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer composite counter electrode for low cost quantum dot-sensitized solar cells, The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016) , 2016.09.
717. M. Shiratani, K. Koga, Plasma assisted plant growth enhancement for agricultural yield enhancement (Invited), The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016) , 2016.09.
718. 毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, p層上に堆積したintrinsic a-Si:H中のSi-H2/Si-H結合比に対する界面の影響, 第77回応用物理学会秋季学術講演会, 2016.09.
719. 小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマ下流における電場とクラスター輸送の関係, 第77回応用物理学会秋季学術講演会, 2016.09.
720. 森研人, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造解析 , 第77回応用物理学会秋季学術講演会, 2016.09.
721. 都甲将, 片山龍, 谷田知史, 古閑一憲, 白谷正治, ヘリコンプラズマを用いた低温低圧下におけるCO2からのCH4生成, 第77回応用物理学会秋季学術講演会, 2016.09.
722. 板垣奈穂, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷 正治, バンドギャップチューニング可能なZnO系新半導体材料の開発(プラズマエレクトロニクス賞受賞記念講演), 第77回応用物理学会秋季学術講演会, 2016.09.
723. K. Koga, T. Amano, Y. Nakatsu, H. Seo, N. Itagaki, A. Tanaka, T. Kondo, M. Shiratani, Time development of response of cells irradiated by non-thermal atmospheric air plasma, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
724. G. Uhcida, A. Nakajima, T. Ito, K. Takenaka, T. Kawasaki, K. Koga, M. Shiratani, Y. Setsuhara, Effects of plasma-irradiation distance on ROS and RNS productions in liquid, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
725. 古閑一憲, 都甲将, 白谷正治, 火星上でのロケット燃料生成を目的とした低温低圧放電プラズマによるサバティエ反応, 第60回宇宙科学技術連合講演会, 2016.09.
726. T. Sarinont, P. Attri, E. H. Choi, K. Koga, M. Shiratani, A comparative study of reactive oxygen species dose provided by atmospheric plasma and gamma ray irradiation using iodine-starch reaction, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
727. M. Shiratani, P. Attri, T. Sarinont, E. H. Choi, K. Koga, A comparative study for action of gamma and plasma irradiation dose on thermodynamics of protein, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
728. 谷田知史, 都甲将, 片山龍, 古閑一憲, 白谷正治, 低圧ヘリコン放電プラズマを用いたCO2のメタン化, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
729. 田中和真, 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子制御による高光安定なa-Si:H太陽電池セルの作成, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
730. 大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 古閑一憲, 板垣奈穂, 白谷正治, プラズマ中でレーザートラップされた微粒子を用いたプラズマのその場計測, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
731. 張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法によって作成されたSiナノ粒子の量子測定と太陽電池への応用, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
732. M. Soejima, K. Koga, M. Shiratani, Inter-Particle Potential Fluctuation of Two Fine Particles Suspended in Ar Plasmas, 16th International Conference On Nanotechnology (IEEE NANO 2016), 2016.08.
733. K. Koga, T. Sarinont, P. Attri, M. Shiratani, Nitrite concentration of plants grown from seeds irradiated by air dielectric barrier discharge plasmas, 20th International Vacuum Congress (IVC-20), 2016.08.
734. S. Tanami, Y. Oide, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on Au-induced layer-exchange crystalline Ge formation using sputtering deposition, 20th International Vacuum Congress (IVC-20), 2016.08.
735. T. Sarinont, P. Attri, K. Koga, M. Shiratani, Effects of atmospheric pressure plasma irradiation to plant seeds put in magnetic field on the plant growth, 20th International Vacuum Congress (IVC-20), 2016.08.
736. S. Toko, R. Katayama, K. Koga, M. Shiratani, Methanation of CO2 by low pressure helicon plasma discharge , 20th International Vacuum Congress (IVC-20), 2016.08.
737. H. Seo, M. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani , Catalytic Activation of Polymer Composite Catalyst for Photochemical Solar Cells, The 67th Annual Meeting of the International Society of Electrochemistry, 2016.08.
738. H. Seo, M. K. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Surface modification of polymeric catalyst for the catalytic enhancement of dye and quantum dot sensitized solar cells, 20th International Vacuum Congress (IVC-20), 2016.08.
739. M. Shiratani, S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki and K. Koga , Time evolution of radical deposition rate and cluster amount (Invited), 20th International Vacuum Congress (IVC-20), 2016.08.
740. 田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治, インジウムナノ粒子のラット皮下投与による体内動態 , 第27回日本微量元素学会学術集会, 2016.07.
741. K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani and S. Inagaki, Envelope analysis and cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, Workshop on Plasma surface interaction for technological applications, 2016.07.
742. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of clusters transport on hydrogenated amorphous silicon solar cells, Workshop on Plasma surface interaction for technological applications, 2016.07.
743. K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki and M. Shiratani, Effects of hydrogen content on a-C:H films deposited by Ar+H2+C7H8 plasma CVD, Workshop on Plasma surface interaction for technological applications, 2016.07.
744. K. Yamaki. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Effects of hydrogen content on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
745. 古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ, QCMを用いたLHD内ダスト堆積量のその場測定, 第11回核融合エネルギー連合講演会, 2016.07.
746. 片山龍, 方韜鈞, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, コンパクトドリフトチューブへのダスト入射角度に対する印加バイアス電圧の影響 , 第11回核融合エネルギー連合講演会, 2016.07.
747. 方韜鈞, 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, コンパクトドリフトチューブを用いたArプラズマとグラファイト壁の相互作用により発生したダストの捕集実験 , 第11回核融合エネルギー連合講演会, 2016.07.
748. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of discharge power on transport characteristics of clusters in the downstream region of multi-hollow SiH4 discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
749. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Modulation level dependence of fluctuation of interactions between plasmas and nanoparticles grown in amplitude modulated discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
750. 田浪荘汰, 大井手芳徳, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , プラズマを用いた低温高速層交換結晶成長に対するRF電力の効果, 平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会), 2016.06.
751. 高崎俊行, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂 , 不純物添加法を用いた高移動度アモルファスIn2O3:Sn膜の作製, 平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会), 2016.06.
752. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, DC biased parallel plates for reduction of dust accumulation on first mirror, International Conference on Plasma Surface Interactions in Controlled Fusion Devices (22nd PSI) , 2016.05.
753. M. Shiratani, K. Koga, Fluctuation of position and energy of a fine particle in plasma nanofabrication (Invited), Intl’ Conf. on Processing & Manufacturing of Advanced Materials (THERMEC’2016), 2016.05.
754. M. Shiratani, T. Sarinont, K. Koga, N. Hayashi, Plasma induced multigeneration effects on plant growth and crop yield (Invited), 1st International Workshop on Plasma Agriculture (IWOPA), 2016.05.
755. M. Shiratani, T. Sarinont, K. Koga, N. Hayashi, R&D status of agricultural applications of high voltage and plasma in Japan (Invited), Workshop on Application of Advanced Plasma Technologies in CE Agriculture, 2016.04.
756. 白谷正治, 片山龍, 古閑⼀憲, 山下大輔, 徐鉉雄, 板垣奈穂, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ, コンパクトドリフトチューブを用いたプラズマ生成ダスト捕集, 第63回応用物理学会春季学術講演会, 2016.03.
757. 古閑一憲, 添島雅大, 伊藤鉄平, 徐鉉雄, 板垣奈穂, 白谷正治, プラズマ中微粒子を用いたプラズマポテンシャルゆらぎの評価, 日本物理学会第71回年次大会, 2016.03.
758. 白谷正治, 古閑⼀憲, 宇宙科学・⼯学とプラズマ (招待講演), 第63回応用物理学会春季学術講演会, 2016.03.
759. 松島宏⼀, 井手智章, 山下大輔, 徐鉉雄, 古閑⼀憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表⾯モフォロジー制御, 第63回応用物理学会春季学術講演会, 2016.03.
760. 都甲将, 古閑⼀憲, 白谷正治, ヘリコンプラズマを⽤いたCO2のメタン化におけるCH4収率と⽣成速度のCO2ガス流量依存性, 第63回応用物理学会春季学術講演会, 2016.03.
761. 都甲将, 毛屋公孝, 小島尚, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマによるクラスター捕捉を利用した低クラスター混入 a-Si:H 薄膜の作製, 第7回薄膜太陽電池セミナー, 2016.03.
762. 徐鉉雄, 田浪荘汰, 大井手芳徳, 板垣奈穂, 古閑一憲, 白谷正治, 色素及び量子ドット増感太陽電池の電解液に適用可能なポリマー触媒, 第7回薄膜太陽電池セミナー, 2016.03.
763. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, A compact drift tube: a novel in-situ dust measurement method, 8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science (ISPlasma2016/IC-PLANTS2016), 2016.03.
764. M. Soejima, K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shirtani, Non-thermal atmospheric air plasma irradiation to plant seeds for plasma agricultural applications, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
765. M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation in interaction potential between binary colliding fine particles suspended in plasmas, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
766. 古閑一憲, 「プラズマ材料科学の未来を語る」(低圧非平衡プラズマプロセス) (招待講演), 第125回研究会 APSPT9-SPSM28サテライトミーティング『プラズマ材料科学の未来を語る』, 2016.02.
767. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Deposition of high-mobility amorphous In2O3:Sn films by utilizing nitrogen mediated amorphization method, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
768. S. Tanami, S. Hashimoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of annealing temperature on grain size of Au catalyst for layer-exchange Ge crystalline formation, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
769. K. Keya, Y. Torigoe, S. Toko, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Evaluation of SiH and SiH2 bonds in PIN a-Si:H solar cells using Raman spectroscopy, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
770. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hybrid counter electrode of photochemical solar cells for iodine and polysulfide redox systems (Invited), The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
771. S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Inverse Correlation between Radical Deposition Rate and Cluster Amount in Gas Phase in SiH4 Discharge Plasmas for Si Thin Film Solar Cell Fabrication, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
772. 古閑一憲, 振幅変調反応性高周波放電中のナノ粒子量のバイスペクトル解析, 応用力学研究所共同研究報告会, 2016.02.
773. 古閑一憲, 大気圧非平衡プラズマの基礎 (招待講演), プラズマ・核融合学会第28回専門講習会「プラズマ医療の現状と展望」, 2016.01.
774. H. Seo, M.-K. Son, N. Itagaki, K. Koga, M. Shiratani, Stable and low cost polymeric catalyst for dye-sensitized solar cells, EMN Photovoltaics Meeting, 2016.01.
775. 小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, シランマルチホロー放電プラズマ下流領域におけるクラスタ量の時間変化, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
776. 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ, ダイバータシミュレータ内でのコンパクトドリフトチューブを用いたダスト捕集実験, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
777. 山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, Ar+H2+C7H8プラズマCVDで堆積したa-C:H膜へのイオン照射の効果, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
778. 松村勇希, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, O2/N2分圧比制御による高品質(ZnO)x(InN)1-x膜のスパッタリング形成, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
779. 大井手芳徳, 橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, プラズマスパッタリングによる酸化シリコン中への埋め込みGeナノ粒子の粒径制御, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
780. 森研人, 伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋, 反応性プラズマ中で形成されたナノ粒子によるレーザー散乱光強度のエンベロープ解析:変調周波数依存性, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
781. K. Koga, T. Ito, T. Kobayashi, M. Shiratani, S. Inagaki, Analysis of Spatiotemporal Development of Interaction Fluctuation between Nanoparticles and Radicals in Reactive Plasmas, 9th APSPT/28th SPSM, 2015.12.
782. K. Matsushima, T. Ide, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate Temperature on Film Growth Mode of ZnO-InN Pseudo Binary Alloys, 9th APSPT/28th SPSM, 2015.12.
783. S. Toko, R. Katayama, K. Koga, M. Shiratani, Dependence of CO2 Conversion on Molar Ratio of H2/CO2 in Helicon Discharge Plasma, 9th APSPT/28th SPSM, 2015.12.
784. K. Koga and M. Shiratani, Potential fluctuation evaluation using binary collision of fine particles suspended in plasmas (Invited), 第16回微粒子プラズマ研究会, 2015.12.
785. R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, DC biased compact drift tube for measuring dust transport, 第16回微粒子プラズマ研究会, 2015.12.
786. 白谷正治, 添島雅大, 伊東鉄平, 徐鉉雄, 板垣奈穂, 古閑一憲, Arプラズマ中の2つのダスト粒子間のポテンシャル, 第25回日本MRS年次大会, 2015.12.
787. 鳥越祥宏, 毛屋公孝, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, a-Si:H膜中のSi-H2結合生成に対する表面反応の寄与, 第25回日本MRS年次大会, 2015.12.
788. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 基板テクスチャ構造がa-Si:H薄膜中Si-H2結合形成に及ぼす影響, 第25回日本MRS年次大会, 2015.12.
789. 中島厚, 内田儀一郎, 川崎敏之, 古閑一憲, 竹中弘祐, 白谷正治, 節原裕一, He/O2プラズマジェット照射による液中酸化反応に及ぼすプラズマ照射距離の効果, 第25回日本MRS年次大会, 2015.12.
790. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dotsensitized Solar Cells Based on Improved Charge Transfer, 第25回日本MRS年次大会, 2015.12.
791. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Potentials of Nano-particles Based on Advanced Plasma Processes (Invited), 第25回日本MRS年次大会, 2015.12.
792. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, クラスタ及びSiH3ラジカルのSiH2結合形成への寄与, 第25回日本MRS年次大会, 2015.12.
793. 都甲将, 片山龍, 古閑一憲, 白谷正治, CO2-H2ヘリコンプラズマにおけるCH4生成反応速度, 第25回日本MRS年次大会, 2015.12.
794. 古閑一憲, 天野孝昭, Thapanut Sarinont, 徐鉉雄, 板垣奈穂, 白谷正治, 中津可道, 平田美由紀, 田中昭代, 液中プラズマを用いたAuとPtナノ粒子の簡易作製法, 平成27年度応用物理学会九州支部学術講演会, 2015.12.
795. 佐藤晃弘, 久壽米木捷太, 工藤章裕, 坂之下朋大, 鶴丸拓也, 若林泰昂, 川崎敏之, 内田儀一郎, 古閑一憲, 白谷正治, プラズマジェットによりアガロース膜を移動した活性酸素の検出プラズマジェットによりアガロース膜を移動した活性酸素の検出, 平成27年度応用物理学会九州支部学術講演会, 2015.12.
796. M. Shiratani, T. Sarinont, T. Amano, N. Hayashi, K. Koga, Plasma Assisted Agriculture (Invited), 2015 MRS Fall Meeting, 2015.12.
797. K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Chemical Ordering in ZnO-InN Pseudo Binary Alloys with Tunable Bandgap, 2015 MRS Fall Meeting, 2015.12.
798. T. Amano, T. Sarinont, G. Uchida, T. Kawasaki, K. Koga, M. Shiratani, A Simple Method for Quantifying Dose of Reactive Species Generated by Atmospheric Pressure Plasmas, 2015 MRS Fall Meeting, 2015.12.
799. T. Amano, T. Sarinont, K. Koga, M. Hirata, A. Tanaka, M. Shiratani, Deposition Kinetics of Metal Nanoparticles Produced by Discharges in Water, 2015 MRS Fall Meeting, 2015.12.
800. 白谷正治, 古閑一憲, 林信哉, プラズマによる植物成長促進・食糧増産, 第32回プラズマ・核融合学会 年会, 2015.11.
801. 古閑一憲,添島雅大,伊東鉄平,山下大輔,徐鉉雄,板垣奈穂,白谷正治,野口将之,内田誠一, プラズマ中のクーロン衝突微粒子間引力, 第32回プラズマ・核融合学会 年会, 2015.11.
802. 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 核融合炉内ダスト計測用コンパクトドリフトチューブの試作, 第32回プラズマ・核融合学会 年会, 2015.11.
803. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic Activation in Polymeric Counter Electrode of Dye-sensitized Solar Cells by Surface Modification, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
804. H. Seo, S.-H. Nam, J.-H. Boo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on Photocatalytic and Photovoltaic Properties of Dye-sensitized Solar Cells by S doped TiO2, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
805. 古閑一憲, 田浪荘汰, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 新しいプラズマプロセス技術を用いた薄膜堆積, 接合科学共同利用・共同研究拠点 大阪大学接合科学研究所 平成27年度 共同研究成果発表会, 2015.11.
806. K. Koga, X. Dong, K. Yamaki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka ,Y. Setsuhara, Effects of Ion Energy on Chemical Bond Configuration in a-C:H Deposited using Ar + H2+ C7H8 Plasma CVD, 37th International Symposium on Dry Process (DPS2015), 2015.11.
807. K. Koga, T. Amano, T. Sarinont, T. Kondo, S. Kitazaki, Y. Nakatsu, A. Tanaka, M. Shiratani, Interactions between spin trapping reagents and non-thermal air DBD plasmas, 37th International Symposium on Dry Process (DPS2015), 2015.11.
808. M. Shiratani and K. Koga, Plasma assisted enhancement of agricultural yield (Invited), 25th International TOKI Conference (ITC-25), 2015.11.
809. 古閑一憲, プラズマ照射に対する生体応答の研究, 第31回 九州・山口プラズマ研究会, 2015.11.
810. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shiratani, Improving of Harvest Period and Crop Yield of Arabidopsis Thaliana L. using Nonthermal Atmospheric Air Plasma, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
811. K. Koga, T. Amano, T. Sarinont, T. Kawasaki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Y. Nakatsu, A. Tanaka, Two Dimentional Visualization of Oxidation Effect of Scalable DBD Plasma Irradiation using KI-starch Solution, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
812. G. Uchida, A. Nakajima, T. Kawasaki, K. Koga, K. Takenaka, M. Shiratani, Y. Setsuhara, Gas flow rate dependence of the production of reactive oxygen species in liquid by a plasma-jet irradiation, ICRP9/GEC68/SPP33, 2015.10.
813. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, Y. Nakatsu, A. Tanaka, M. Shiratani, Simple Evaluation Method of Atmospheric Plasma Irradiation Dose using pH of Water, ICRP9/GEC68/SPP33, 2015.10.
814. R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, M. Tokitani, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Deposition rate and etching rate due to neutral radicals and dust particles measured using QCMs together with a dust eliminating filter, ICRP9/GEC68/SPP33, 2015.10.
815. Y. Torigoe, K. Keya, S. Toko, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of electrode structure on characteristics of multi-hollow discharges, ICRP9/GEC68/SPP33, 2015.10.
816. S. Hashimoto, S. Tanami, H. Seo, G. Uchida, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2 dilution on fabrication of Ge nanoparticles by rf sputtering, ICRP9/GEC68/SPP33, 2015.10.
817. D. Yamashita, M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Laser trapped single fine particle as a probe of plasma parameters, ICRP9/GEC68/SPP33, 2015.10.
818. K. Keya, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of PIN hydrogenated amorphous silicon solar cells, ICRP9/GEC68/SPP33, 2015.10.
819. T. Amano, K. Koga, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, S. Kitazaki, M. Hirata, Y. Nakatsu, A. Tanaka, Synthesis of indium-containing nanoparticles using plasmas in water to study their effects on living body, ICRP9/GEC68/SPP33, 2015.10.
820. Y. Setsuhara, G. Uchida, A. Nakajima, K. Takenaka, K. Koga, M. Shiratani, Discharge characteristics and hydrodynamics behaviors of atmospheric plasma jets produced in various gas flow patterns, ICRP9/GEC68/SPP33, 2015.10.
821. T. Sarinont, T. Amano, K. Koga, M. Shiratani, Effects of Ambient Humidity on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation to Plant Seeds, ICRP9/GEC68/SPP33, 2015.10.
822. M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Attraction during binary collision of fine particles in Ar plasma, ICRP9/GEC68/SPP33, 2015.10.
823. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation into A-Si:H Films Deposited Using H 2 +SiH 4 Discharge Plasmas, ICRP9/GEC68/SPP33, 2015.10.
824. T. Ito, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, T. Kobayashi, S. Inagaki, Cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, ICRP9/GEC68/SPP33, 2015.10.
825. T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization, ICRP9/GEC68/SPP33, 2015.10.
826. K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films, ICRP9/GEC68/SPP33, 2015.10.
827. T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, ICRP9/GEC68/SPP33, 2015.10.
828. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Raman Spectroscopy of a -C:H Films Deposited Using Ar + H 2 + C 7 H 8 Plasma CVD, ICRP9/GEC68/SPP33, 2015.10.
829. S. Tanami, D. Ichida, D. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of Au-induced crystalline Ge film formation using sputtering deposition, ICRP9/GEC68/SPP33, 2015.10.
830. 中津可道, 大野みずき, 鷹野典子, 北崎訓, 古閑一憲, 天野孝昭, 白谷正治, 田中昭代, 續輝久, プラズマの直接照射及び照射溶液による突然変異の誘導, 第74回日本癌学会学術総会, 2015.10.
831. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Novel polymer counter electrode of dye-sensitized solar cells, 66th Annual Meeting of the International Society of Electrochemistry, 2015.10.
832. K. Koga, T. Amano, M. Hirata, A. Tanaka, M. Shiratani, In vivo kinetics of nanoparticles synthesized by plasma in water (Invited), The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.10.
833. 内田儀一郎, 竹中弘祐, 節原裕一, 川崎敏之, 古閑一憲, 白谷正治, 非平衡プラズマジェットの動的放電特性, 第21回プラズマ新領域研究会『プラズマ流の可視化』, 2015.10.
834. K. Koga, M. Soejima, K. Tomita, T. Ito, H. Seo, N. Itagaki, M. Shiratani, In-situ laser Raman spectroscopy of an optically trapped fine particle, 17th International Symposium on Laser-Aided Plasma Diagnostics (LAPD17) , 2015.09.
835. 天野孝昭, Thapanut Sarinont, 内田儀一郎, 川崎敏之, 古閑一憲, 白谷正治, KI-デンプン水溶液を用いた大気圧プラズマの活性種照射量の簡便な評価法, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
836. 橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリングによるGeナノ粒子作製への窒素希釈の効果, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
837. 松島宏一, 井手智章, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, ZnInON膜作製時におけるAr/O2/N2プラズマ中のO原子及びN原子の絶対密度計測, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
838. 片山龍, 古閑一憲, 山下大輔, Hyunwoong Seo, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ・壁相互作用により発生したダスト堆積とプラズマ発光との相関, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
839. 田浪荘汰, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマを用いた低温高速層交換結晶成長に対する金触媒膜厚の効果, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
840. 添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 野口将之, 内田誠一, プラズマ中微粒子運動の2次元顕微解析, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
841. 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法におけるシランガス流速のクラスターに対するラジカル損失への影響, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
842. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ラマン分光法を用いたa-Si:H PIN太陽電池のP、I層およびPI界面の評価, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
843. 高崎俊行, 井手智章, 松島宏一, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 高移動度アモルファスIn2O3:Sn薄膜作製におけるN2/Arスパッタリングプラズマ中の窒素原子絶対密度計測, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
844. Xiao Dong, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 水素前方散乱分析法とフーリエ変換赤外分光法によるアモルファス炭素膜中水素濃度の比較分析, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
845. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 成膜領域へのマルチホロー放電プラズマの拡散に対する電極構造の影響, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
846. 井手智章, 松島宏一, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法を用いたZnO膜作製におけるN2/Arプラズマ中のN原子絶対密度計測, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
847. 伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋, 反応性プラズマ中ナノ粒子量揺らぎのクロスコリレーション解析, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
848. T. Amano, T. Sarinont, K. Koga, M. Shiratani, NO2- Concentration In Seeds Irradiated By Atmospheric Dielectric Barrier Discharge Plasmas, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
849. T. Sarinont, K. Koga, T. Amano, M. Shiratani, Response Of Silkworm Larvae To Non-thermal Atmospheric Pressure Plasma Stimulation, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
850. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration In Extremely Thin A-Si:H Fimls Deposited By Multi-Hollow Discharge Plasma CVD Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
851. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition Of Amorphous In2O3:Sn Films With High Mobility By Utilizing Nitrogen Mediated Amorphization Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
852. K. Koga and M. Shiratani, Control Of Nanoprticle Transport And Their Deposition For Porous Low-k Films By Using Plasma Pertubation (Invited), The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
853. M. Shiratani, T. Ide, K. Matsushima, T. Takasaki, H. Seo, K. Koga, N. Itagaki, Requirements Of Buffer Layer For Heteroepitaxy Of ZnO On Lattice-Mismatched Substrate By Sputtering, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
854. G. Uchida, A. Nakajima, K. Takenaka, Y. Setsuhara, K. Koga, M. Shiratani, Discharge Characteristics Of A Helium Atmospheric Plasma Jet Impinging Onto The Liquid Surface, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
855. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement On Polymeric Counter Electrode Of Si Quantum Dot-sensitized Solar Cells, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
856. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement Of Organic/inorganic Hybrid Solar Cell By The Surface Modification At Si And Polymer Junction, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
857. G. Uchida, H. Seo, K. Koga, M. Shiratani, Fabrication Of Ge Nanoparticle Composite Films By Reactive Dusty Plasma Process For Next Generation Energy Devices (Invited), The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
858. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Gas Flow Rate Ratio Dependence Of Properties Of Carbon Films Deposited Using Ar + H2+ C7H8 Plasma CVD, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
859. 古閑一憲, 添島雅大, 徐鉉雄, 板垣奈穂, 白谷正治, 内田誠一, アルゴンプラズマ中微粒子運動の画像解析によるプラズマパラメータ評価, 日本物理学会 2015年秋季大会, 2015.09.
860. 古閑一憲, 伊東鉄平, 徐鉉雄, 板垣奈穂, 白谷正治, 反応性プラズマ中ナノ粒子とラジカルの非線形結合成分の時空間解析, 日本物理学会 2015年秋季大会, 2015.09.
861. 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDにおける水素希釈のa-Si:H膜中クラスター混入量への影響, 第76回応用物理学会秋季学術講演会, 2015.09.
862. 内田儀一郎, 中島厚, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一, 液面に入射するプラズマジェットの放電特性と動的挙動 , 第76回応用物理学会秋季学術講演会, 2015.09.
863. 中島厚, 内田儀一郎, 川崎敏之, 古閑一憲, Thapanut Sarinont, 天野孝昭, 竹中弘祐, 白谷正治, 節原裕一, 大気圧He/O2プラズマジェット照射による液中活性酸素種生成に及ぼすガス流パターンの効果, 第76回応用物理学会秋季学術講演会, 2015.09.
864. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Cluster Eliminating Filter on Extremely Thin a-Si:H Films Deposited by SiH4 Multi-Hollow Discharges, 第76回応用物理学会秋季学術講演会, 2015.09.
865. 森研人, 伊東鉄平, 古閑一憲, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治,小林達哉, 稲垣滋, AM変調を用いた反応性プラズマ中のナノ粒子揺らぎの時空間解析, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
866. 大井手芳徳, 橋本慎史, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリング法を用いて作製したGeナノ粒子膜の増感型量子ドット太陽電池への応用, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
867. 山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 節原裕一, 竹中弘祐, 水素原子源付プラズマCVD法によるカーボンフィルムの堆積速度および膜質の制御, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
868. 松村勇希, 井手智章, 松島宏一, 山下大輔, Hyunwoong Seo, 古閑一憲, 白谷正治, 板垣奈穂, 窒素媒介結晶化のスパッタ法によるZnO膜のエピタキシャル成長の窒素流量の影響
, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
869. 小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , 膜中クラスター体積分率の水素ガス流量依存性, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
870. 天野孝昭, 古閑一憲, 板垣奈穂, 白谷正治, 田中昭代, 平田美由紀, 体内動態観察を目的とした水中プラズマによるインジウムナノ粒子の作製と投与, 新学術領域研究「プラズマ医療科学の創成」+「プラズマとナノ界面の相互作用に関する学術基盤の創成」+「統合的神経機能の制御を標的とした糖鎖の作動原理解明」合同公開シンポジウム, 2015.08.
871. M. Shiratani, T. Ito, K. Koga, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, T. Kobayashi, S. Inagaki, Bispectrum analysis of fluctuation of nanoparticle amount in amplitude modulated capacitively-coupled discharge plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
872. M. Shiratani, M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, Position fluctuation of a fine particle optically trapped in Ar plasma, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
873. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Contribution of Clusters to SiH2 Bonds in a-Si:H Films, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
874. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Linear and Nonlinear Correlation between Deposition Rate and SiH Emission Intensity in SiH4 Multi-hollow Discharge Plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
875. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Third Generation Photovoltaics (Invited), The 1st Asian Workshop on Advanced Plasma Technology and Application, 2015.07.
876. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Second and Third Generation Photovoltaics (Invited), 2015 International Forum on Functional Materials (IFFM2015), 2015.06.
877. M. Shiratani, T. Sarinont, T. Amano, K. Koga, N. Hayashi, Improvement of Agricultural Productivity utilizing Plasmas, 2015 International Forum on Functional Materials (IFFM2015), 2015.06.
878. N. Itagaki, T. Ide, K. Matsushima, T. Takasaki, D. Yamashita, H. Seo, K. Koga, Masaharu Shiratani, Sputtering growth of single-crystalline ZnO films on c-sapphire substrates by using impurity-mediated crystallization method: Effects of surface morphology of buffer layers, 2015 E-MRS Spring Meeting and Exhibit, 2015.05.
879. 白谷正治, 都甲将, 鳥越祥宏, 毛屋公孝, 古閑一憲, クラスタ除去によるa-Si太陽電池の光劣化抑制(招待講演), 電子情報通信学会有機エレクトロニクス研究会, 2015.04.
880. 古閑一憲, 大気圧非平衡プラズマ照射による液中ラジカル生成の相関解析(招待講演), 新学術領域研究 プラズマ・ナノマテリアル動態学の創成と安全安心医療科学の構築 第21回医工連携ゼミ, 2015.04.
881. M. Shiratani, X. Dong, K. Koga, N. Itagaki, H. Seo, G. Uchida, Site-selective Coating of Carbon Protective Layer on Sub-micron Trenches Using Plasma CVD, 42nd International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2015), 2015.04.
882. H. Seo, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Enhancement on the Photovoltaic Properties of Dye-Sensitized Solar Cells with Catalytically Activated Polymeric Counter Electrode, 2015 MRS Spring Meeting, 2015.04.
883. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Crystalline Ge film deposition between Au catalyst film and quartz glass substrate using magnetron sputtering, 2015 MRS Spring Meeting, 2015.04.
884. N. Itagaki, T. Takasaki, T. Nakanishi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, High-mobility amorphous In2O3:Sn films prepared by sputter deposition with nitrogen-mediated amorphization method, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
885. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Flow Rate on Deposition Rate and Amount of Si Clusters Incorporated into a-Si:H Films, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
886. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of ion irradiation on mass density of carbon films deposited by Hassisted plasma CVD, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
887. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
888. 古閑一憲, 市田大樹, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 非平衡プラズマスパッタリングによる高速低温層交換結晶成長 , 日本物理学会第70回年次大会, 2015.03.
889. 古閑一憲, 市田大樹, 橋本慎史, 徐鉉雄, 山下大輔, 板垣奈穂, 白谷正治, スパッタを用いた低温高速層交換Ge結晶成長に対する基板温度の効果, 第62回応用物理学会春季学術講演会, 2015.03.
890. 古閑一憲, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 白谷 正治, 水素化アモルファスシリコン薄膜中Si-H2結合生成に対するクラスタ混入とラジカル表面反応の寄与, 第62回応用物理学会春季学術講演会, 2015.03.
891. 古閑一憲, 天野孝昭, 平田美由紀, 田中昭代, 白谷正治, ラットに皮下投与したInナノ粒子の体内輸送, 第62回応用物理学会春季学術講演会, 2015.03.
892. 白谷正治, 古閑一憲, プラズマによるナノ粒子の合成と凝集・輸送制御(招待講演), 第62回応用物理学会春季学術講演会, 2015.03.
893. 板垣奈穂, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 可視領域でバンドギャップチューニング可能なZnO系新材料の開発(招待講演), 第62回応用物理学会春季学術講演会, 2015.03.
894. 松島宏一, 清水僚太, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, ワイドバンドギャップ半導体ZnInONをi層に用いたpin太陽電池の作製, 第62回応用物理学会春季学術講演会, 2015.03.
895. 井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法によるc面サファイア基板上へのZnO膜の作製:歪み緩和におけるバッファー層結晶粒密度の影響, 第62回応用物理学会春季学術講演会, 2015.03.
896. 古閑一憲, 内田儀一郎, 徐鉉雄, 白谷正治, プロセシングプラズマを用いたIV族半導体ナノ粒子の作製と太陽電池への応用(招待講演), 平成26年度 東北大学電気通信研究所共同プロジェクト研究会「プラズマナノバイオ・医療の基礎研究」, 2015.02.
897. T. Amano, T. Sarinont, K. Koga, M. Shiratni, Effects of atmospheric pressure DBD plasma irradiation on growth of silkworms, The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.01.
898. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic application of Si nano-particles fabricated by underwater discharge (Invited), The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.01.
899. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Realization of highly stable a‐Si:H film by using cluster eliminating filter, The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
900. K. Koga, T. Ito, H. Seo, N. Itagaki, and M. Shiratani, Temporal development of nonlinear coupling between radicals and nanoparticles in reactive plasmas (Invited), The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
901. K. Koga, S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, M. Shiratani, Cluster suppressed deposition of a-Si:H films by employing non-linear phenomena in reactive plasmas (Invited), 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
902. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
903. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in liquid for solar cell applications, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
904. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of strained-induced ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
905. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Gas Residence Time Effects of Cluster Incorporation into a-Si:H Films, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
906. 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVDで作製されたa-Si:H膜中Si-H2結合形成に対するクラスター混入と表面反応の寄与, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
907. サリノントタパナット, 天野孝昭, 古閑一憲, 白谷正治, カイコの成長に対する非平衡大気プラズマ照射の効果, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
908. 天野孝昭, サリノントタパナット, 古閑一憲, 白谷正治, 林信哉, プラズマ照射植物種子の酸化ストレスの計測, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
909. 片山龍, 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦 相良明男, LHD実験グループ, LHD内バイアス基板への長期ダスト堆積実験, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
910. 市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFマグネトロンスパッタリングと金薄膜触媒を用いた低温高速層交換Ge結晶成長, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
911. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, シランマルチホロー放電の発光強度の圧力依存性, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
912. 立石瑞樹, 片山龍, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行 増崎貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ・壁相互作用により発生したダストの容器壁堆積のその場検出, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
913. 金光善徳, 古閑一憲, 山下大輔, 鎌滝普礼, 徐鉉雄, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVD法で作製したナノ結晶シリコン薄膜を用いた光学バンドギャップ制御, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
914. 白谷正治, 古閑一憲, 徐鉉雄, 板垣奈穂, プラズマナノプロセシングにおける揺らぎ抑制のための戦略, 第24回日本MRS年次大会, 2014.12.
915. 天野孝昭, サリノント タパナット, 古閑一憲, 白谷正治, イオン液体を用いたRFスパッタリング法により作製した銀ナノ粒子サイズの圧力依存性, 第24回日本MRS年次大会, 2014.12.
916. 金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で堆積したSiナノ粒子含有膜の光学バンドギャップ制御, 第24回日本MRS年次大会, 2014.12.
917. 古閑一憲, サリノントタパナット, 天野孝昭, 白谷正治, 大気圧空気プラズマを照射したカイコの成長, 第24回日本MRS年次大会, 2014.12.
918. T. Sarinont, T. Amano, K. Koga, M. Shiratani, P. Attri, Free Radical Formation by Atmospheric Pressure Plasmas for Different Gas Species, 第24回日本MRS年次大会, 2014.12.
919. 天野孝昭, サリノント タパナット, 古閑一憲, 平田美由紀, 田中昭代, 白谷正治, Synthesis of indium-containing nanoparticle using plasmas in liquid for evaluating their kinetics in living body, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
920. 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, Contributions of ion irradiation to the characteristics of a-C:H films deposited by H-assisted plasma CVD method, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
921. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, Evaluaion of Si-H2 bond formation of a-Si:H film using cluster eliminating filter, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
922. 添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中に光捕捉した微粒子の挙動解析, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
923. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電の上流領域に輸送された クラスタ量の水素希釈の効果, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
924. 高崎俊行, 中西貴彦, 山下大輔, 徐鉱雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタリング法による高移動度アモルファスIn2O3:Sn 膜の作製, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
925. M. Shiratani, T. Sarinont, T. Amano, K. Koga, Multigeneration Study of Effects of Plasma Irradiation to Seeds of Arabidopsis Thaliana and Zinnia, 2014 MRS Fall Meeting, 2014.12.
926. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Perovskite Solar Cells on the Ratio of Lead Iodide and Methylammonium Iodide, 2014 MRS Fall Meeting, 2014.12.
927. 古閑一憲, プラズマ技術の生体・環境分野への応用研究, 九州大学テクノロジーフォーラム2014, 2014.12.
928. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Sputtering Growth of Pseudobinary ZnO-InN Alloys with Tunable Band Gap for Application in Multi-Quantum Well Solar Cells, 2014 MRS Fall Meeting, 2014.12.
929. T. Sarinont, T. Amano, K. Koga, M. Shiratani, N. Hayashi, Effects of Atmospheric Air Plasma Irradiation to Plant Seeds on Chlorophyll and Carotenoids in Plant, 2014 MRS Fall Meeting, 2014.12.
930. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Strained Multi-Quantum Well Solar Cells Utilizing ZnInON, 2014 MRS Fall Meeting, 2014.12.
931. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Morphology Control of Buffer Layers for Growth of Single-Crystalline ZnO Films on Lattice Mismatched Substrates, 2014 MRS Fall Meeting, 2014.12.
932. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, and M. Shiratani, Synthesize of ZnO-based Semiconductor with Tunable Band Gap and Its Application in Multi-Quantum-Well Solar Cells, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
933. A. Tanaka, M. Hirata, K. Koga, M. Shiratani, M. Nakano, K. Omae and Y. Kiyohara, Adverse Health Effects of Indium Tin Oxide and Copper Indium Gallium Diselenide, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
934. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga and M. Shiratani, Optical Analysis on Perovskite Films According to CH3NH3I and PbI2 Concentration, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
935. 古閑一憲, サリノントタパナット, 北﨑訓, 林信哉, 白谷正治, プラズマ照射によるシロイヌナズナの植物成長促進の世代間伝搬, 第30回 九州・山口プラズマ研究会, 2014.11.
936. 板垣奈穂, 古閑一憲, 白谷正治, スパッタリング成膜法による高品質酸化亜鉛薄膜の形成, 第30回 九州・山口プラズマ研究会, 2014.11.
937. S. Toko, Y. Torigoe, Y. Kanemitu, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Effects of Gas Residence Time on Amount of Si Clusters Incorporated into a-Si:H Films, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
938. K. Koga, T. Ito, H. Seo, N. Itagaki, M. Shiratani, Analysis of coupling between nanoparticles and radicals using perturbation of radical density in reactive plasmas, Plasma Conference 2014, 2014.11.
939. N. Itagaki, T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Non-Equilibrium and Extreme State -High-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization-, Plasma Conference 2014, 2014.11.
940. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of dust deposition on optical characteristics of substrates, Plasma Conference 2014, 2014.11.
941. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall, Plasma Conference 2014, 2014.11.
942. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of crystalline Ge films using RF sputtering and metal catalyst, Plasma Conference 2014, 2014.11.
943. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Ge films for applying solar cells using RF magnetron sputtering and gold film catalyst, Global Photovoltaic Conference 2014, 2014.11.
944. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in water for organic/inorganic hybrid solar cells, Global Photovoltaic Conference 2014, 2014.11.
945. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. H. Nam, J. H. Boo, Improvement on charge transportation of Si quantum dot-sensitized solar cell using vanadium doped TiO2, Global Photovoltaic Conference 2014, 2014.11.
946. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization of Si nano-particles fabricated by multi-hollow discharge plasma chemical vapor deposition, Global Photovoltaic Conference 2014, 2014.11.
947. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Novel method of Ge crystalline thin film deposition on SiO2 by sputtering, 67th Annual Gaseous Electronics Conference, 2014.11.
948. K. Koga, T. Ito, K. Kamataki, H. Seo, N. Itagaki, and M. Shiratani, Effects of amplitude modulated VHF discharge on coupling between plasmas and nanoparticles, 24th International Toki Conference, 2014.11.
949. S. Toko, Y. Torigoe, Y. Kanemitsu, H. Seo, K. Koga, M. Shiratani, Cluster Incorporation Control by Hydrogen Silane Mixture in Multi Hollow Discharge Plasma CVD, 67th Annual Gaseous Electronics Conference, 2014.11.
950. T. Ito, D. Yamashita, H. Seo, K. KamatakiI, N. Itagaki, K. Koga, M. Shiratani, Modulation frequency dependence of bispectrum of laser light scattering intensity from nanoparticles formed in reactive plasmas, 67th Annual Gaseous Electronics Conference, 2014.11.
951. M. Shiratani, T. Ito, M. Soejima, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, Single Fine Particle Trapped in Ar Plasma by Optical Tweezers (Invited), 15th Workshop on Fine Particle Plasmas, 2014.10.
952. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of Dust Deposition on Optical Characteristics of Mirrors Installed on First Wall in LHD, 15th Workshop on Fine Particle Plasmas, 2014.10.
953. G. Uchida, D. Ichida, H. Seo, S. Hashimoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size-controlled Ge Nanoparticle Synthesis and Their Application to Quantum-dot Solar Cells, 15th Workshop on Fine Particle Plasmas, 2014.10.
954. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Development of an in-situ Detection Method of Dust Particles Deposited on Vessel Wall Using Quartz Crystal Microbalances, 15th Workshop on Fine Particle Plasmas, 2014.10.
955. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, S i ナノ粒子を用いたハイブリッド型太陽電池の研究, 第6回薄膜太陽電池セミナー2014, 2014.10.
956. 毛屋公孝, 都甲将, 鳥越祥宏, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , マルチホロー放電プラズマで作製したa-Si:H膜中クラスター量の水素-シラン混合比依存性, 第6回薄膜太陽電池セミナー2014, 2014.10.
957. 徐鉉雄, 橋本慎史, 市田大樹, 板垣奈穂, 古閑一憲, 白谷正治 , 色素増感太陽電池のポリマー触媒の改善, 第6回薄膜太陽電池セミナー2014, 2014.10.
958. M. Shiratani, K. Koga , Nanopartilce Composite Plasma CVD Films and Some Applications (Invited), 2014 ECS and SMEQ Joint International Meeting, 2014.10.
959. 板垣奈穂, 井出智章, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, c面サファイア基板上への単結晶ZnO膜の形成 : 格子不整合系ヘテロエピタキシーにおける成長初期過程の表面形態の影響, 第75回応用物理学会秋季学術講演会, 2014.09.
960. 張ハン, 楊恩波, 古閑一憲, 白谷正治, 永津雅章, Ar/NH3プラズマによるグラファイト外包磁気ナノ微粒子のアミノ基表面修飾の最適化, 第75回応用物理学会秋季学術講演会, 2014.09.
961. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Si量子ドットを用いたハイブリッド型太陽電池の研究, 平成26年度(第67回)電気・情報関係学会九州支部連合大会, 2014.09.
962. 徐鉉雄, 橋本慎史, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDによるSi量子ドットの作製と新太陽電池への応用研究, 平成26年度(第67回)電気・情報関係学会九州支部連合大会, 2014.09.
963. 松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 酸窒化物半導体ZnInONを用いた量子井戸型太陽電池の作製, 第75回応用物理学会秋季学術講演会, 2014.09.
964. T. Sarinont, T. Amano, K. Koga, M. Shiratani, H. Nobuya, Influence of Atmospheric Air Discharge Plasma Irradiation on pH of Water, 第75回応用物理学会秋季学術講演会, 2014.09.
965. Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Characteristics of cluster eliminating filter for plasma CVD, 第75回応用物理学会秋季学術講演会, 2014.09.
966. M. Shiratani, H. Seo, N. Itagaki, G. Uchida, K. Koga, Plasma CVD nanostructured films for energy applications (Invited), 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
967. Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, and M. Shiratani, Effects of hydrogen dilution to nanoparticle formation in silane hydrogen mixture multi-hollow discharge plasmas, 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
968. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of tandem dye-sensitized solar cell based on mesh-type of counter electrode, 65th Annual Meeting of the International Society of Electrochemistry, 2014.09.
969. T. Sarinont, T. Amano, K. Koga, M. Shiratani, Evaluation of reducing sugar of plants irradiated by air dielectric barrier discharge plasmas , 15th IUMRS-International Conference in Asia, 2014.08.
970. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Counter electrode based on conductive polymer for cost-effective dye-sensitized solar cells, 15th IUMRS-International Conference in Asia, 2014.08.
971. K. Koga, Y. Morita, T. Ito, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, Spatiotemporal Analysis of Nanoparticle Growth in Amplitude Modulated Reactive Plasmas for Understanding Interactions between Plasmas and Nanomaterials (Invited), 15th IUMRS-International Conference in Asia, 2014.08.
972. M. Shiratani, H. Seo, K. Koga, N. Itagaki, Stability of nanoparticle growth processes in reactive plasmas, 15th IUMRS-International Conference in Asia, 2014.08.
973. S. Toko, Y. Hasahimoto, Y. Kanemitsu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Hysteresis of Cluster Amount in Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
974. T. Ito, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of optically trapped single fine particle in plasmas , 15th IUMRS-International Conference in Asia, 2014.08.
975. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Substrate Temperature on Defect Density of Ge Nanoparticle Films , 15th IUMRS-International Conference in Asia, 2014.08.
976. Y. Torigoe, Y. Hashimoto, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration Associated with Si-H2 Bonds in Films Deposited by High Frequency Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
977. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, Real Time Deposition Measurement of Dust Particles Generated by Plasma-Wall Interaction , 15th IUMRS-International Conference in Asia, 2014.08.
978. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si QD/PEDOT:PSS Hybrid Solar Cells Using Si Nanoparticles Fabricated by Multi-Hollow Plasma CVD , 15th IUMRS-International Conference in Asia, 2014.08.
979. T. Amano, K. Koga, T. Sarinont, N. Itagaki, M. Shiratani, N. Hayashi, Y. Nakatsu, T. Tsuzuki, M. Hirata, A. Tanaka, Synthesis of In nanoparticles using RF sputtering for evaluating nanoparticle kinetics in living body , 15th IUMRS-International Conference in Asia, 2014.08.
980. N. Itagaki, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Crystal Growth Control of Sputter-Deposited ZnO Films by Nitrogen-Mediated Crystallization Method , 15th IUMRS-International Conference in Asia, 2014.08.
981. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of a novel widegap semiconductor ZnGaON by magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
982. K. Matsuhima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of In-rich ZnInON films by RF magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
983. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of sputter-deposited ZnO films via nitrogen mediated crystallization: effects of nitrogen flow rate , 15th IUMRS-International Conference in Asia, 2014.08.
984. T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, RF Magnetron sputtering of low-resistive ZnO:Ga films with buffer layers fabricated via nitrogen mediated crystallization , 15th IUMRS-International Conference in Asia, 2014.08.
985. 古閑一憲, 太陽電池開発の最前線, 2014年度先端サマーセミナー(第6回研究活動交流会), 2014.08.
986. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani, Photovoltaic Application of Polymer: Dye-sensitized Solar Cell and Organic/inorganic Hybrid Solar Cell (Invited), International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
987. Y. Torigoe, C. Weiting, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Suppression of Cluster Incorporation into a-Si:H Films Using a Cluster Eliminating Filter, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
988. T. Amano, T. Sarinont, K. Koga, and M. Shiratani, Atmospheric Pressure DBD Plasma Irradiation to Seeds of Glycine max (L.)Merrill and Vigna radiata, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
989. T. Sarinont, T. Amano, K. Koga, and M. Shiratani, Long term effects of atmospheric air plasma irradiated on seeds of Zinnia, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
990. M. Shiratani and K. Koga, Plasma agriculture: what plasma can do for agriculture (Invited), 19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2014.07.
991. T. Amano, K. Koga, M. Shiratani, and A. Tanaka, Production of indium nanoparticles for nano-safety evaluation, 19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2014.07.
992. Y. Nakatsu, T. Tsuzuki, A. Tanaka, M.Hirata, K. Koga, and M. Shiratani, Pulmonary Toxic Effects of Indium-Tin Oxide Nanoparticles in Rats, 19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2014.07.
993. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Properties of Organic/Inorganic Hybrid Solar Cells with Improved Electrode, 2014 International Symposium on Green Manufacturing and Applications (ISGMA 2014), 2014.06.
994. T. Amano, K. Koga, M. Shiratani, and A. Tanaka, Indium nanoparticle synthesis using plasmas in water for nanoparticle transport analysis in living body, 26th Symposium on Plasma Physics and Technology, 2014.06.
995. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Quartz crystal microbalance measurements for in-situ evaluation of dust inventory in fusion devices, 26th Symposium on Plasma Physics and Technology, 2014.06.
996. 市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD で作成したGe半導体薄膜の光電特性, 平成26年度九州表面・真空研究会2014(兼第19回九州薄膜表面研究会), 2014.06.
997. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Real time mass measurement of dust particles deposited on vessel wall using quartz crystal microbalances in a divertor simulator, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
998. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust collection with dc-biased substrates in large helical device, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
999. K. Koga, T. Sarinont, T. Amano, and M. Shiratani, Effects of non-thermal air plasma irradiation to plant seeds on glucose concentration of plants, International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), 2014.05.
1000. M. Shiratani, T. Sarinont, T. Amano, K. Koga, S. Kitazaki, and N. Hayashi , Enhancement of food energy efficiency using plasmas (Invited), 5th International Conference on Plasma Medicine (ICPM5), 2014.05.
1001. T. Sarinont, T. Amano, K. Koga, and M. Shiratani, Effects of ambient gas species for plasma irradiation to seeds on plant growth promotion, 5th International Conference on Plasma Medicine (ICPM5), 2014.05.
1002. T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, and M. Shiratani, Preservation of Growth Enhancement of Plants after Atmospheric Pressure DBD Plasma Irradiation, 5th International Conference on Plasma Medicine (ICPM5), 2014.05.
1003. M. Shiratani, S. Toko, K. Koga, N. Itagaki, H. Seo, In-situ Measurements of Volume Fraction of cDusters in Films During Plasma CVD, International Conference on Metallurgical Coatings and Thin Films(ICMCTF 2014), 2014.05.
1004. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Si/SiC coreshell nanoparticle composite anode for Li ion batteries, 2014 MRS Spring Meeting, 2014.04.
1005. 白谷正治, 古閑一憲, 森田康彦, 伊東鉄平, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, ナノ粒子含有振幅変調放電プラズマ中のAr準安定原子密度, 日本物理学会 第69回年次大会, 2014.03.
1006. 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 反応性プラズマ中のナノ粒子成長とプラズマ揺らぎ, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1007. 天野孝昭, 古閑一憲, Sarinont Thapanut, 板垣奈穂, 白谷正治, 林信哉, 中津可道, 續輝久, 平田美由紀, 田中昭代, RFスパッタリングによるInナノ粒子の作製とそのラット体内の動態, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1008. 松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高In組成ZnInON膜の作製, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1009. 張晗, 楊恩波, 古閑一憲, 白谷正治, 永津雅章, グラファイト外包磁気ナノ微粒子噴上げ効果を利用したAr/NH3 RFプラズマによる表面修飾の高効率化とプラズマ表面相互作用の分光計測, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1010. 永津雅章, 楊恩波, Anchu Viswan, 張晗, 古閑一憲, 白谷正治, プラズマプロセスによるグラファイト被覆金属ナノ微粒子の表面修, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1011. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, シランプラズマ中のクラスター量に対する振幅変調放電の効果, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1012. 伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中に光捕捉した単一微粒子を用いたプラズマと界面の相互作用評価, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1013. 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 水素原子源付きプラズマCVDで作製した炭素薄膜の堆積速度:圧力と電極間距離への依存性, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1014. 森田康彦, 伊東鉄平, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲 , 白谷正治, 反応性プラズマにおけるナノ粒子成長のバイスペクトル解析, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
1015. 古閑一憲, コンビナトリアル細胞活性解析を用いた細胞超活性プラズマの創成 (招待講演), 第8回レーザー学会「レーザーバイオ医療」技術専門委員会, 2014.03.
1016. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Transport of fine particles produced by interactions between H2 plasmas and carbon wall, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
1017. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Volume Fraction of Clusters in Si Thin Films Depoisted by Multi-Hollow Discharge Plasma CVD, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
1018. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Qunatum-Dot Sensitized Solar Cells Using Ge Nanoparticle Films Deposited by High Pressure Rf Magnetron Sputtering Method, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
1019. T. Sarinont, K. Koga, S. Kitazaki, N. Hayashi, M. Shiratani, Growth Enhancement of Plants Due to Plasma Atmospheric Pressure Irradiation to Seeds in Liquid, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
1020. 古閑一憲, プラズマプロセス技術の最近の応用展開 (招待講演), プラズマ・核融合学会九州・沖縄・山口支部 平成25年度第3回特別講演会, 2014.02.
1021. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida and M. Shiratani, Coupling between radicals in plasmas and nanoparticle growth in initial growth phase in reactive plasmas with amplitude modulation, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1022. K. Koga, Y. Hashimoto, S. Toko, D. Yamashita, Y. Torigoe, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Fabrication of highly stable a-Si:H solar cells by suppressing cluster incorporation (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
1023. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Band gap control of nc-Si thin films deposited multi-hollow discharge plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1024. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Bispectrum analysis of nanoparticle growth in reactive dusty plasmas, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1025. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Cluster Amount in Downstream Region of Multi-Hollow Silane Discharge Plasmas Studied by Laser Light Scattering Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
1026. Y. Torigoe,Y. Hashimoto, S. Toko, D. Yamashita, N. Itagaki, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Effects of Amplitude Modulation on Stability of Hydrogenated Amorphous Silicon Films Deposited using Multi-Hollow Discharge Plasma CVD Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
1027. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Pressure on Deposition Rate of Anisotropic Plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1028. S. Hashimoto, D. Ichida, K. Kamataki, H. Seo, G. Uchida, N. Itagaki,, K. Koga, M. Shiratani, Effects of Hydrogen Dilution on Structure of Ge Quantum Dot Film Deposited by Using High Pressure rf Sputtering, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1029. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on lattice mismatch substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1030. T. Ito, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, and M. Shiratani, Evaluation of Interactions between Plasmas and Interface using Optically Trapped Single Fine Particle, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1031. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of InN-rich ZnInON films for photovoltaic appplications by RF magnetron sputtering, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
1032. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Fabrication of Size-controlled Ge Nanoparticle Films Using High Pressure RF Sputtering Method for Quantum Dot Solar Cell, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1033. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Flux Control of Dust Particles Generated due to Interactions between Graphite Wall and H2 Plasmas using DC Biased Substrates, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1034. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization by RF Magnetron Sputtering Method, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1035. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1036. Y. Hashimoto, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective removal of clusters in silane plasmas by cluster eliminating filter, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1037. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Si nano-particles: Its quantum characteristics and application for photovoltaics (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
1038. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of novel semiconductor ZnGaON with wide bandgap for optoelectronic devices, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1039. T. Sarinont, T. Amano, K. Koga, M. Shiratani, Effects of Atmospheric Air Plasma Treatments of Seeds of Oryza sativa,Raphanus sativus L. and Zinnia on Plant Growth, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1040. T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, M. Shiratani, Long Term Growth Curve of Raphanus sativus L. after Atmospheric Pressure DBD Plasma Irradiation, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1041. G. Uchida, D. Ichida, S. Hashimoto, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Photocurrent generation of quantum-dot sensitized solar cells using group-IV semiconductor nanoparticle films (Invited), 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
1042. K. Koga, T. Sarinont, S. Kitazaki, N. Hayashi, M. Shiratani, Multi-generation evaluation of plasma growth enhancement to arabidopsis thaliana (Invited), 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1043. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1044. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1045. Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1046. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1047. Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1048. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1049. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1050. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1051. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1052. T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1053. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori, Pressure dependence of carbon film deposition using H-assisted plasma CVD, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1054. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Raman spectroscopy of a fine particle optically trapped in plasma, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1055. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Spatial profile of flux of dust particles in hydrogen helicon plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1056. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition of Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1057. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering fabrication of a novel widegap semiconductor ZnGaON for optoelectronic devices with wide bandgap for optical device, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1058. I. Suhariadi, K. Oshikawa, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Study on nitrogen desorption behavior of sputtered ZnO for transparent conducting oxide, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1059. A. Tanaka, M. Hirata, K. Koga, N. Itagaki, M. Shiratani, N. Hayashi, G. Uchida, Subacute toxicity of gallium arsenide, indium arsenide and arsenic trioxide following intermittent intrantracheal instillations to the lung of rats, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1060. T. Sarinont, K. Koga, S. Kitazaki, M. Shiratani, N. Hayashi, Effects of growth enhancement by plasma irradiation to seeds in water, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1061. T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, M. Shiratani, Long term growth of radish sprouts after atmospheric pressure DBD plasma irradiation to seeds, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1062. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited), 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1063. M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida, A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1064. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on sapphire substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1065. G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1066. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization and photovoltaic application of Si nano-particles fabricated by multi-hollow plasma discharge chemical vapor deposition, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1067. T. Kawasaki, K. Kawano, H. Mizoguchi, Y. Yano, K. Yamashita, M. Sakai, G. Uchida, K. Koga, M. Shiratani, Visualization of oxidizing substances generated by atmospheric pressure non-thermal plasma jet with water, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
1068. N. Itagaki, K. Matsushima, I. Suhariadi, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Sputtering growth of single-crystalline ZnO-based semiconductors on lattice mismatched substrates (Invited), International Society for Optics and Photonics, Photonics West 2014, 2014.02.
1069. 古閑一憲, プラズマ技術およびその応用可能性について (招待講演), 平成25年度次世代テクノロジーセミナー, 2014.01.
1070. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanoparticle composite plasma CVD films Fundamental and applications (Invited), The 9th EU-Japan Joint Symposium on Plasma Processing, 2014.01.
1071. G. Uchida, H. Seo, D. Ichida, N. Itagaki, K, Koga, M. Shiratani, Solar cells using nanoparticles of group IV semiconductor aiming at MEG effects, 6th International Symposium on Innovative Solar Cells, 2014.01.
1072. 白谷正治, T. Sarinont, 天野孝昭, 古閑一憲, 北崎訓, 林信哉, 水中種子へのプラズマ照射の成長促進効果, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
1073. 押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, Ga添加酸化亜鉛薄膜に対する窒素添加結晶化法を用いた酸化亜鉛バッファー層の効果, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
1074. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリング法による狭ギャップ半導体ZnInON膜の高品質結晶成長, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
1075. 押川晃一郎, 板垣奈穂, 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 山下大輔, I. Suhariadi, マグネトロンスパッタ法による窒素添加結晶化バッファー層を用いた低抵抗In2O3:Sn薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
1076. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDにおけるa-Si:H膜中クラスター混入量に対する振幅変調放電の効果, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
1077. 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマを用いたⅣ族半導体ナノ粒子膜の堆積とそのデバイス応用 (Invited), 第16回プラズマエレクトロニクス分科会 プラズマ新領域研究会, 2013.12.
1078. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Correlation between Plasma Fluctuation and Nanoparticle Amount in Initial Growth Phase in Reactive Plasmas with Amplitude Modulation, 14th Workshop on Fine Particle Plasmas, 2013.12.
1079. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, LHD Experimental Group, Transport of Carbon Dust Particles Produced by Interactions between H2 Plasmas and Graphite Target, 14th Workshop on Fine Particle Plasmas, 2013.12.
1080. M. Shiratani, K. Koga, Y. Morita, S. Iwashita, H. Seo, K. Kamataki, N. Itagaki, G. Uchida, Time evolution of spatial profile of nanoparticle amount in amplitude modulated capacitively coupled reactive plasmas, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
1081. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Ge nanoparticle composite films and their application to solar cells, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
1082. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage of Multi-Hollow Silane Discharges on Amount of Clusters Formed in the Discharges, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
1083. 押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, Magnetron sputtering of low-resistive transparent conductive oxide films with double buffer layers fabricated via nitrogen mediated crystallization, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
1084. I. Suhariadi, K. Oshikawa, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Morphological Characterization of ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization: Effects of Substrate Temperature, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
1085. T. Sarinont, K. Koga, S. Kitazaki, M. Shiratani, N. Hayashi, Effects of Water on Interaction between Plant Growth and Plasma, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
1086. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characteristics of crystalline Si nano-particles and their application for photochemical solar cells (Invited), 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
1087. K. Koga, G. Uchida, D. Ichida, S. Hashimoto, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Quantum dot sensitized solar cells using group IV semiconductor nanoparticles (Invited), 2013 EMN Fall Meeting , 2013.12.
1088. M. Shiratani, N. Itagaki., K. Matsushima, R. Shimizu, H. Seo, K. Koga, Novel metal oxinitride materials for optoelectronic applications (Invited), 2013 EMN Fall Meeting , 2013.12.
1089. 古閑一憲, 森田康彦, 岩下伸也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, ナノ粒子成長に対するプラズマ摂動周波数の効果, プラズマ・核融合学会 第30回年会, 2013.12.
1090. 林信哉, 内田詳平, 小野大帝, 北崎訓, 古閑一憲, 白谷正治, プラズマ中の活性酸素種による植物のレドックス状態変化と成長促進効果, プラズマ・核融合学会 第30回年会, 2013.12.
1091. 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとグラファイト壁の相互作用により発生するダスト粒子の輸送, プラズマ・核融合学会 第30回年会, 2013.12.
1092. 張晗, 楊恩波, 高田昇治, 内田儀一郎, 古閑一憲, 白谷正治, 永津雅章, グラフェン外包磁気ナノ微粒子の表面化学修飾におけるRFプラズマ中への微粒子導入の効果, プラズマ・核融合学会 第30回年会, 2013.12.
1093. M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, K. Kamataki, Nanostructure control of Si-based solar cells using plasma CVD (Invited), THERMEC 2013, 2013.12.
1094. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFマグネトロンスパッタリング法を用いて作製したGeナノ粒子膜の特性, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
1095. 鳥越祥宏, 橋本優史, 都甲将, 金淵元, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 振幅変調マルチホロー放電プラズマCVD法を用いた
水素化アモルファスシリコン薄膜の作製, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
1096. 伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中で発生するナノ粒子量の時空間分布, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
1097. 井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタ法による格子不整合基板上へのエピタキシャルZnO膜の作製:窒素添加結晶化バッファー層の効果, 平成25年度応用物理学会九州支部学術講演会, 2013.11.
1098. 山下佳亮, 河野航大, 溝口博士, 矢野裕士, 川崎敏之, 坂井美穂, 内田儀一郎, 古閑一憲, 白谷正治, プラズマジェットから発生する酸化性物質の可視化, 平成25年度応用物理学会九州支部学術講演会, 2013.11.
1099. 林信哉, 小野大帝, 内田祥平, 北崎訓, 古閑一憲, 白谷正治, 酸素プラズマ照射による植物細胞の抗酸化活性変化, 平成25年度応用物理学会九州支部学術講演会, 2013.11.
1100. 天野孝昭, サリノント タパナット, 北﨑訓, 古閑一憲, 白谷正治, 林信哉, 種子への大気圧DBDプラズマ照射後のカイワレ大根成長の時間推移, 平成25年度応用物理学会九州支部学術講演会, 2013.11.
1101. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Control of plasma CVD films containing group IV nanoparticles (Invited), International Conference on Surface Engineering (ICSE 2013), 2013.11.
1102. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani , Performance enhancement of Si quantum dot-sensitized solar cells based on Si functionalization and multi-layered structure , International Conference on Surface Engineering (ICSE 2013), 2013.11.
1103. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 高効率太陽電池のための狭バンドギャップZnInON膜の作成-[O]/([O]+[N])依存性-, 第5回薄膜太陽電池セミナー, 2013.11.
1104. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 膜中クラスター退席分率のAM変調依存性, 第5回薄膜太陽電池セミナー, 2013.11.
1105. H. Seo, M.-K. Son, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Dye and Si Quantum Dot Co-sensitized Solar Cells for Performance Enhancement, The 2nd International Conference on Advanced Electromaterials (ICAE 2013), 2013.11.
1106. T. Sarinont, K. Koga, M. Shiratani, Correlation between Species Generated by Atmospheric Pressure Air Plasmas and Growth Enhancement of Oryza Sativa, The 6th Thailand-Japan International Academic Conference 2013, 2013.11.
1107. 川崎敏之, 坂井美穂, 内田儀一郎, 古閑一憲, 白谷正治, シート状プラズマジェットの照射領域制御とその評価, 第29回九州山口プラズマ研究会, 2013.11.
1108. K. Koga, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Deposition of Ge Nanoparticle Films and Their Application to Ge Quantum-dot Sensitized Solar Cells, The 23rd International Photovoltaic Science and Engineering Conference, 2013.11.
1109. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective Removal of Clusters in Silane Plasmas Using Cluster Eliminating Filter, The 23rd International Photovoltaic Science and Engineering Conference, 2013.10.
1110. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Size-controlled Ge Nanoparticle Film by Highpressure rf Magnetron Sputtering for Quantum Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
1111. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocurrent Generation Characteristics of Ge Quantum-Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
1112. K. Matsushima, R. Shimizu, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, PS+TF-MoA11 Two-dimensional Growth of Novel ZnO based Semiconductor ZnInON with Tunable Bandgap by Magnetron Sputtering, AVS 60th International Symposium and Exhibition, 2013.10.
1113. A. Tanaka, M. Hirata, K. Koga, N. Hayashi, M. Shiratani and Y. Kiyohara, Pulmonary toxicity of copper indium gallium diselenide particles in rats, The 6th International Symposium on Nanotechnology, Occupational and Environmental Health, 2013.10.
1114. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The performance enhancement of dye and quantum dot hybrid nanostructured solar cell with barrier coating, Taiwan Associatoin for Coatings and Thin Films Technology, 2013.10.
1115. M. Shiratani, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, N. Itagaki, K. Koga, Cluster control plasma CVD for fabrication of stable a-Si:H solar cells, 66th Annual Gaseous Electronics Conference , 2013.10.
1116. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Ar/N2 Magnetron Sputtering Discharges to Control Growth of Transparent Conducting Oxide Films, 66th Annual Gaseous Electronics Conference , 2013.10.
1117. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster incorporation during amplitude modulated VHF discharge silane plasmas, 66th Annual Gaseous Electronics Conference , 2013.10.
1118. R. Shimizu, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of ZnGaInON with tunable bandgap for photovoltaics, 66th Annual Gaseous Electronics Conference , 2013.10.
1119. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in quantum-dot sensitized solar cells using Ge nanoparticle films, Solid State Devices and Materials 2013 (SSDM) , 2013.09.
1120. N. Itagaki, K. Oshikawa, I. Suhariadi, K. Matsushima, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Crystallinity Control of Sputtered ZnO:Al Transparent Conducting Films by Utilizing Buffer Layers Fabricated via Nitrogen Mediated Crystallization, Solid State Devices and Materials 2013 (SSDM), 2013.09.
1121. 白谷正治, 森田康彦, 岩下伸也, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 鎌滝晋礼, ナノ粒子含有プラズマ系におけるナノ粒子成長, 日本物理学会2013年秋季大会, 2013.09.
1122. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
1123. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, シリコン量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
1124. 伊東鉄平, 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, ハイスピードカメラを用いたナノ粒子成長プロセスの観測, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
1125. 金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で堆積したSiナノ粒子膜の光学的バンドギャップ制御, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
1126. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, U. Czarnetzki, Carbon Nanostructure formed by high pressure methane plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1127. M. Shiratani, D. Yamashita, K. Koga, K. Kamataki, N. Itagaki, G. Uchida, Plasma Etching of Single Fine Particle Trapped By Optical Tweezers, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1128. N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G.Uchida, K. Koga, M. Shiratani, Synthesis and Characterization of Oxynitride Semiconductor ZnInON with Tunable Bandgap, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1129. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Amplitude modulation frequency dependence of nanoparticle amount in plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1130. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Dependence of Volume Fraction of Si Clusters in Films on Amplitude Modulation Frequencyin Multi-Hollow Discharge Plasma CVD Method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1131. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of filter gap of cluster eliminating filter on cluster eliminating efficiency, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1132. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1133. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on structure of Ge nanoparticle films deposited by high-pressure RFmagnetron sputtering method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1134. G. Uchida, D. Ichida, Y. Kanemitsu, H. Seo, K. Kamataki, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Production of SiC nanoparticles for Li-ion battery applications by using a high pressuremulti-hollow discharge plasma CVD, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1135. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time Evolution of Ar Emission Intensities of Ar + H2 + Toluene Plasmas in H-assistedPlasma CVD Reactor, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1136. T. Kawasaki, M. Sakai, G. Uchida, K. Koga, M. Shiratani, Control of the area irradiated by the sheet-type plasma jet in atmospheric pressure, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1137. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi, Time evolution of growth enhancement effects of radish sprouts due to atmospheric pressureplasma irradiation, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
1138. 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子量空間分布の変調周波数依存性, 第74回応用物理学会秋季学術講演会, 2013.09.
1139. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Band-Gap Engineering of ZnO Based Semiconductors Deposited by Sputtering, 2013 JSAP-MRS Joint Symposia, 2013.09.
1140. 市田大樹, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリング法を用いた結晶Geナノ粒子膜の堆積, 第74回応用物理学会秋季学術講演会, 2013.09.
1141. 橋本優史, 都甲将, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, シラン放電プラズマ初期のクラスター発生と膜への取り込み , 第74回応用物理学会秋季学術講演会, 2013.09.
1142. K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki and G. Uchida, Comparative Acute Pulmonary Toxicity of Different Types of Indium-Tin Oxide Following Intermittent Intratracheal Instillation to the Lung of Rats, 2013 JSAP-MRS Joint Symposia, 2013.09.
1143. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Hayashi, Combinatorial Method of Plasma Irradiation to Seeds of Raphanus sativus L., 2013 JSAP-MRS Joint Symposia, 2013.09.
1144. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInON膜の作製-Ar分圧依存性-, 第74回応用物理学会秋季学術講演会, 2013.09.
1145. 都甲将, 金淵元, 橋本優史, 金光善徳, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマからのクラスター流出量のガス流速依存性 , 第74回応用物理学会秋季学術講演会, 2013.09.
1146. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, M. Shiratani and N. Hayashi, Effects of Atmospheric Air Plasma Irradiation on pH of Deionized Water, 2013 JSAP-MRS Joint Symposia, 2013.09.
1147. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Si Quantum Dot-Sensitized Solar Cells on Counter Electrode, 2013 JSAP-MRS Joint Symposia, 2013.09.
1148. 古閑一憲, 橋本優史, 金淵元, 都甲将, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, クラスタ抑制法を用いた高光安定アモルファスシリコンPIN太陽電池の作製, プラズマ研究会, 2013.09.
1149. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Contribution of ionic deposition precursors to Si thin film deposition, Dry Process Symposium 2013, 2013.08.
1150. Y. Kim, S. Toko, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Effects of amplitude modulation of rf discharge voltage on silane plasmas in initial phase, Dry Process Symposium 2013, 2013.08.
1151. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Deposition of Ge nanoparticle films by high-pressure rf magnetron sputtering method for quantum dot solar cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1152. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani and N. Hayashi, Effects of atmospheric air plasma treatments of seeds on plant growth, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1153. R. Shimizu, K. Matsushima, Tadashi Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of ZnInON films with Tunable Bandgap for Heterojunction Solar Cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1154. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Time evolution of spatial profile of nanoparticle amount in reactive plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1155. M. Shiratani, K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki, G. Uchida, Safety Issues on Plasma Life Sicences (Invited), The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1156. M. Shiratani, Y. Morita, S. Iwashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Tuning Size Distribution of Nanoparticles Formed in Reactive Plasmas using Plasma Parameter Modulation, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1157. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. Nam, J. Boo, Performance Enhancement of Si Quantum Dot-sensitized Solar Cell Using vanadium Doped TiO2, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1158. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, M. Shiratani and U. Czarnetzki, Formation of self-organized nanostructures using high pressure CH4+Ar plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1159. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Characteristics of GeSn nanoparticle films deposition by high-pressure rf magnetron sputtering method, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
1160. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Coreshell nanoparticles generated by plasma CVD and their applications to Li ion batteries, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
1161. Y. Morita, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, D. Yamashita and M. Shiratani, Observation of nanoparticle growth process using high speed camera, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
1162. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, U. Czarnetzki, High Pressure Nonthermal Methane Plasmas for Nanoparticle Production, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1163. M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga, Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1164. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Combinatorial plasma CVD of Si nanoparticle composite films for band gap control, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1165. D. Ichida, G. Uchida, H. Seo, K. Kamatakia, N. Itagaki, K. Koga, M. Shiratani, Effects of H2 gas addition on structure of Ge nanoparticle films deposited by high-pressure rf magnetron sputtering method, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1166. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani, Infrared Laser Manipulation of Single Fine Particle Levitated at Plasma/Sheath Boundary, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1167. Y. Morita, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Transport of nanoparticles produced in reactive plasmas using a positively-biased collector, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1168. T. Sarinont, S. Kitazaki, K. Koga, G.u Uchida, M. Shiratani, T. Murakami, N. Hayashi, Correlation between Species Generated by Atmospheric Pressure Air Plasmas and Growth Enhancement of Oryza Sativa, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1169. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of grid DC bias on incorporation of Si clusters into amorphous silicon films by multi-hollow discharge plasma CVD, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1170. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group d, S. Bornholdte, H. Kersten, Effects of plasma incident angle of dust production due to interactions between hydrogen plasmas and carbon wall, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1171. I. Suhariadi, K. Oshikawa, H. Seo, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Study on the crystal growth mechanism of ZnO films fabricated via nitrogen mediated crystallization, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1172. X. Dong, R. Torigoe, K. Koga, G. Uchida, M. Shiratani, Y. Setsuhara, K. Takenaka, M. Sekine, M. Hori, Deposition of carbon films on PMMA using H-assisted plasma CVD, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1173. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Layer by layer deposition of ZnO buffer layers fabricated via nitrogen mediated crystallization for ZnO:Al transparent conducting oxide, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
1174. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, and M. Shiratani, Sputter Deposition of Single Crystal ZnO Films on 18% Lattice mismatched c-Al2O3 Substrates via Nitrogen Mediated Crystallization, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
1175. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, and N. Hayashi, Combinatorial Approach to Plasma Biosciences (Invited), 2013 International Forum on Functional Materials (IFFM2013), 2013.06.
1176. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis on the paint-type Si quantum dot-sensitized solar cells
, International Symposium on Green Manufacturing and Applecations, 2013.06.
1177. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, and K. Kamataki, Control of nanostructure of plasma CVD Si thin films (Invited), Japan-Australia Workshop on Gaseous Electronics and Its Applications (JAWS25), 2013.06.
1178. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust flux control in high density hydrogen plasmas using DC biased substrates, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1179. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Volume fraction of clusters in Si thin films in initial deposition phase of plasma CVD, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1180. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and K. Koga, Advanced plasma sources and processes for energy harvesting devices (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1181. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Advance in quantum dot-sensitized solar cells using group IV semiconductor nano-particles (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1182. 板垣奈穂, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 高効率太陽電池の実現に向けた新規酸窒化物半導体ZnInONのバンドギャップエンジニアリング, 第10回 「次世代の太陽光発電システム」シンポジウム, 2013.05.
1183. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanostructure of plasma CVD films containing nanoparticles (Invited), International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2013), 2013.04.
1184. 金淵元, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Silicon cluster volume fraction of silicon thin films prepared by multi-hollow plasma discharge CVD, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1185. 内田儀一郎, 王玉亭, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子膜を用いたSi/PEDOT:PSS太陽電池の特性評価 , 2013年第60回応用物理学会春季学術講演会, 2013.03.
1186. 川崎敏之, 佐藤京祐, 森崎久志, 馬塲啓, 梅田翔一, 坂井美穂, 内田儀一郎, 古閑一憲, 白谷正治, 大気圧プラズマジェットによる殺菌への供給ガスの影響, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1187. 古閑一憲, 森田康彦, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 振幅変調放電プラズマ中のナノ粒子成長初期におけるナノ粒子量の時空間分布, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1188. 板垣奈穂, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, スパッタ法によるC面サファイア基板上への原子平坦ZnO薄膜の作製, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1189. 松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInONの作製, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1190. S. Iwashita, E. Schüngel, J. Schulze, P. Hartmann, Z. Donkó, G. Uchida, K. Koga, M. Shiratani, U. Czarnetzki, Control of distribution and transport of μm-sized dust particles in a CCRF discharge via the Electrical Asymmetry Effect, Jena 2013 , 2013.02.
1191. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Fluctuation in Plasma Processes (Invited), 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
1192. U. Czarnetzki, S. Iwashita, E. Schüngel, S. Mohr, J. Schulze, P. Hartman, Z. Donkó, G. Uchida, K. Koga, M. Shiratani, Dust and Dust Manipulation in Capacitively Coupled Plasmas (Invited), The 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
1193. S. Kitazaki, T. Sarinont, K. Koga, M.Shiratani, N. Hayashi, Growth promotion of Raphanus sativus L. using a combinatorialplasma irradiation method, The 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
1194. K. Koga, D. Yamashita, G. Uchida, M. Shiratani, U. Czarnetzki, Characteristics of high pressure Ar+CH4 nanosecond discharge plasmas for producing nanoparticles, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1195. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of zinc-indium oxynitride semiconductors with narrow bandgap for excitonic transistors, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1196. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, Cycle characteristics of lithium ion batteries using Si/SiC core-shell nanoparticle anode, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1197. Y. Hashimoto, K. Hatozaki, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of Voc of a-Si:H solar cells on distance between discharge and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1198. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, and N. Itagaki, Effects of deposition temperature on properties of epitaxial ZnInON films for solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1199. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Effects of Nitrogen on Crystal Growth of Sputter-Deposited ZnO Films for Transparent Conducting Oxide, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1200. G. Uchida, Y. Wang, H. Seo, D. Ichida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Energy conversion efficincy of Si nanoparticle/PEDOT:PSS qunatum-dot solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1201. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and The LHD Experimental Group , Evaluation of etching effects due to H+ ions on dust transport using local bias potential, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1202. Y. Wang, D. Ichida, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Properties and Performance of C-Si/Si QDs/organic Heterojunction Solar Cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1203. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Sanghoon Nam and Jin-Hyo Boo, The improvement on the electron transfer of dye-sensitized solar cell using vanadium doped TiO2, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1204. N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Antioxidative activity of plant and regulation of plant growth induced by oxygen radicals, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1205. T. Sarinont, S. Kitazaki, G. Uchida, K. Koga, M. Shiratani, N. Hayashi, Growth Enhancement of Plants using Atmospheric Pressure Dielectric Barrier Discharge Plasmas, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1206. K. Koga, D. Yamashita, G. Uchida, M. Shiratani, Single particle trapping in plasmas using laser for studying interaction between a fine particle and palsams, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1207. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Dependence of performance of p-i-n a-Si:H solar cells using stable a-Si:H films on distance between discahrges and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1208. K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Spatio-temporal structure of growth of nano-particles with without amplitude modulation in reactive plasmas, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1209. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, Time evolution of nanoparticle size in reactive plasmas: comparison between theory and experiments, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1210. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of silcion cluster volume fraction in silicon thin films measured with quartz crystal microbalances, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1211. H. Seo, Y. wang, D. Ichida, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The fabrication of paint-type Si quantum dot-sensitized solar cells and ZnS post-treatment (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1212. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Tuning nanostructures of plasma CVD films (Plenary), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1213. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of atomically-flat ZnO films on lattice mismatched substrates via nitrogen mediated crystallization (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1214. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition rate dependence of silicon cluster volume fraction in silicon thin films deposited by multi-hollow plasma discharge CVD, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1215. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for MQW solar cells by RF magnetron sputtering, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1216. K. Koga, Time and space profiles of laser-light scattering intensity from nano-particles and optical emission intensity in amplitude modurated high frequency discharge plasmas, The International LIGLR Workshop on Plasma Science & Technology, 2013.01.
1217. 白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄, 反応性プラズマ中の微粒子成長速度の時空間相関, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1218. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子/PEDOT:PSSを用いた量子ドット太陽電池の作製, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1219. 森田康彦, 鎌滝晋礼, 内田儀一郎, 金淵元, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己, ダブルマルチホロープラズマCVDを用いたリチウムイン電池用SiCナノ粒子膜のコンビナトリアル生成, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1220. 金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜のバンドギャップ制御, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1221. T. Sarinont, 北崎 訓, 内田 儀一郎, 古閑 一憲, 白谷 正治, 林 信哉, 低圧プラズマ照射による稲の成長促進, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1222. 古閑一憲, 西山雄士, 立石瑞樹, 白谷正治, H. Wulff, S. Bornholdt, H. Kersten, プラズマ-壁相互作用によるナノ粒子生成に対する壁へのプラズマ流入角度の効果, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1223. G. Uchida, H. Seo, Y. Wang, D. Ichida, K. Koga, M. Shiratani, Quantum yield of Si quantum dot solar cells using Si nanoparticles, 第5回革新的太陽光発電国際シンポジウム, 2013.01.
1224. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Etching Effects of Hydrogen Ions on Dust Collection Using Local Bias Potential, 2013 International Symposium on Information Science and Electrical Engineering, 2013.01.
1225. 古閑一憲, 岩下伸也, 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 重水素ヘリコンプラズマとグラファイト壁の相互作用により発生したダストの捕集, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1226. 白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄, 反応性プラズマとナノ構造の相互作用の長距離相関モデル, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1227. 橋本優史, 波戸崎浩介, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, SiH4マルチホロー放電プラズマの発光分光計測, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1228. 廣瀬忠史, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリング法による高効率量子井戸型太陽電池のための狭バンドギャップ酸窒化物半導体の作製, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1229. 金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝普礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜の光学特性, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1230. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いたSiナノ粒子膜の堆積と有機・無機ハイブリッド型太陽電池への応用, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1231. 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 大型ヘリカル装置の主放電時に発生するダストの局所バイアス電圧を用いた除去, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1232. 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中でのナノ粒子成長の時空間分布, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1233. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Electrochemical impedance analysis on the additional layers for the enhancement on the performance of dye-sensitized solar cell, 10th International Conference on Nano-Molecular Electronics, 2012.12.
1234. G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, T. Ishihara, and M. Shiratani, Application of Si nanoparticles to energy devices: quantum-dot solar cells and Li ion batteris (Invited), The 69th IUVSTA Workshop, 2012.12.
1235. K. Koga, Y. Wang, D. Ichida, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Deposition of Si nanoparticle composite films for C-Si/Si QDs/organic Solar Cells, 第13回微粒子プラズマ研究会, 2012.12.
1236. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga , A simplified model of a relationship between plasma fluctuation and size distribution of nanoparticles formed in reactive plasmas, 第13回微粒子プラズマ研究会, 2012.12.
1237. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagar2, and the LHD Experimental Group, Dust Collection on DC Biased Substrates during Glow Discharges in the Large Helical Device, 第13回微粒子プラズマ研究会, 2012.12.
1238. M. Tateishi, S. Iwashita, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust particle formation due to interaction between deuterium helicon plasmas and graphite wall, 第13回微粒子プラズマ研究会, 2012.12.
1239. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, SiC/Si nanoparticle anode of lithium ion batteries fabricated using double multi-hollow plasma CVD, 第13回微粒子プラズマ研究会, 2012.12.
1240. S. Iwashita, E. Sch¨unge, J. Schulze, P. Hartmann, G.Uchida, K. Koga, M. Shiratani, Z. Donk'o, U. Czarnetzki, Transport control of dust particles in a capacitively coupled discharge via the Electrical Asymmetry Effect, 第13回微粒子プラズマ研究会, 2012.12.
1241. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Si ナノ粒子/PEDOT:PSS 量子ドット太陽電池のエネルギー変換効率, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1242. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, ヘリコンプラズマ装置における捕集基板上ダストへのエッチング効果の考察, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1243. 押川晃一郎, I. Suhariadi, 桑原和成, 山下 大輔, 徐 鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化バッファー層を用いた低抵抗ZnO:Al薄膜の作製: バッファー層形成時における酸素供給量の効果, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1244. 松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 低温スパッタリング法による高効率量子井戸型太陽電池のための新規酸窒化物半導体ZnInONの形成, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1245. 古閑一憲, 岩下伸也, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, グラファイトと重水素プラズマの相互作用で発生したダスト捕集, プラズマ・核融合学会 第29回年会, 2012.11.
1246. 古閑一憲, 岩下伸也, 山下大輔, 内田儀一郎, 白谷正治, Czarnetzki U., 高気圧Ar+CH4ナノ秒放電を用いた薄膜堆積とナノ粒子生成, プラズマ・核融合学会 第29回年会, 2012.11.
1247. 白谷正治, 北﨑訓, 古閑一憲, 林信哉, コンビナトリアルプラズマ照射による植物の成長促進, プラズマ・核融合学会 第29回年会, 2012.11.
1248. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, ダイバータシミュレータで発生したカーボンダストの生成と輸送の放電電力の効果, プラズマ・核融合学会 第29回年会, 2012.11.
1249. 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 白谷正治, 放電電力変調反応性プラズマにおけるナノ粒子成長の時空間構造の観測, プラズマ・核融合学会 第29回年会, 2012.11.
1250. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 局所バイアス電圧印加基板で捕集したカーボンダスト量に対する水素プラズマエッチングの効果, プラズマ・核融合学会 第29回年会, 2012.11.
1251. N. Itagaki, K. Kuwahara, K. Matsushima, T. Hirose, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Epitaxial Growth of ZnO Based Semiconductors via Impurity-Additive Mediated Crysallization, 2012 MRS Fall Meeting, 2012.11.
1252. K. Koga, Plasma Chemical Vapor Deposition for Solar Cells (Invited), 2012 Workshop on Advanced Surface and Material Technologies, 2012.11.
1253. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors, The 34th International Symposium on Dry Process , 2012.11.
1254. Y. Wang, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of c-Si/organic heterojunction solar cells by using Si quantum dots, The 34th International Symposium on Dry Process , 2012.11.
1255. S. Kitazaki, T. Sarinont, K. Koga, M. Shiratani, N. Hayashi, Growth promotion of Raphanus sativus L. and Oryza sativa using a combinatorial plasma irradiation method, The 34th International Symposium on Dry Process , 2012.11.
1256. N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Plant growth regulation and redox reactions in plants induced by oxygen radical generated by air plasma, The 34th International Symposium on Dry Process , 2012.11.
1257. 古閑一憲, 岩下伸也, 内田儀一郎, J. Schulze, E. Schungel, P. Hartmann, 白谷正治, Z. Donko, U. Czarnetzki, Electrical Asymmetry Effectを用いた微粒子のシース間輸送, 九州山口プラズマ研究会、応物新領域研究会, 2012.11.
1258. 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 白谷正治, プラズマプロセスにおける揺らぎのダイナミックス, 九州山口プラズマ研究会、応物新領域研究会, 2012.11.
1259. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of ZnInON Films for Piezo-Electric-Field Effect MQW Solar Cells, AVS 59th International Symposium & Exhibition, 2012.11.
1260. Y. Morita, K. Kamataki, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4/H2 and CH4 multi-hollow discharge plasma CVD of SiC nano-composite anode for high charge-discharge capacity lithium ion batteries, AVS 59th International Symposium & Exhibition, 2012.11.
1261. K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of atomically smooth ZnO films with buffer layers crystallized via nitrogen mediation, AVS 59th International Symposium & Exhibition, 2012.11.
1262. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Long-range correlation of nanoparticle growth in in low pressure reactive VHF discharge plasmas, 54th Annual Meeting of the APS Division of Plasma Physics (DPP), 2012.10.
1263. G. Uchida, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in Si quantum-dot sensitized solar cells, AVS 59th International Symposium & Exhibition, 2012.10.
1264. S. Iwashita, E. Schuengel, J. Schulze, G. Uchida, K. Koga, P. Hartmann, M. Shiratani, Z. Donko, U. Czarnetzki, Electrical asymmetry effect for controlling the transport of micrometer-sized particles in capacitively coupled plasmas, 65th Annual Gaseous Electronics Conference (GEC), 2012.10.
1265. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Impacts of plasma fluctuation on growth of nanoparticles in low pressure reactive VHF discharge plasmas, 65th Annual Gaseous Electronics Conference (GEC), 2012.10.
1266. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Control of Dust Flux in LHD and in a Divertor Simulator, 24th Fusion Energy Conference (IAEA) , 2012.10.
1267. K. Koga, S. Iwashita, M. Shiratani, U. Czarnetzki, Formation of Nanoparticles in High Pressure Reactive Nanosecond Discharges, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1268. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, Fabrication of ZnO Buffer Layers via Nitrogen-Mediated Crystallization for ZnO:Al Transparent Conducting Oxide: Effects of Oxygen Addition, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1269. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of amount of Si clusters incorporated during film deposition using quartz crystal microbalances, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1270. T. Sarinont, S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Germination and Seedling Growth using Atmospheric Pressure Dielectric Barrier Discharge, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1271. S. Kitazaki, T. Sarinont, K. Koga, M. Shiratani, N. Hayashi, Investigation of sensitivity of yeast cell cycle to atmospheric pressure dielectric barrier discharge plasma irradiation, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1272. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, Control of size distribution of nanoparticles produced in reactive plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1273. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Thin Films for Multiple-Quantum–Well Solar Cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1274. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for solar cell application by N2/Ar sputtering, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1275. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, High capacity lithium ion batteries using SiC nanoparticles, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1276. K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of epitaxial ZnO films: effects of O2 partial pressure, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1277. Y. Hashimoto, K. Hatozaki, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Temperature dependence of fill factor of a-Si:H Schottky cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1278. D. Yamashita, K. Koga, S. Kitazaki, K. Kamataki, G. Uchida, N. Itagaki, M. Shiratani, Time evolution of diameter of laser trapped single dust particle in plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1279. R. Torigoe, T. Urakawa, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setshuhara, Deposition of hard carbon films on the nitride pmma by plasma anisotropic CVD, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1280. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Control Of ZNO Nano-Rod With Various Seeds And Photovoltaic Application, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1281. G. Uchida, N. Sadegh, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Temporal Evolution Of Number Density Of Ar Metastable Atoms In Dusty Plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1282. N. Itagaki, K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Semiconductors for Piezo-Electric-Field Effect MQW Solar Cells, IUMRS‐ICEM 2012 , 2012.09.
1283. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using a cluster eliminating filter, IUMRS‐ICEM 2012 , 2012.09.
1284. Y. Wang, J. Boo, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of V-doped TiO2 on Performance Si QDSCs, IUMRS‐ICEM 2012 , 2012.09.
1285. H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Enhancement of light harvesting efficiency with multi-layered electrode of Si quantum dot-sensitized solar cells, IUMRS‐ICEM 2012 , 2012.09.
1286. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Zn-In-ON films with tunable optical bandgap for photovoltaic applications, IUMRS‐ICEM 2012 , 2012.09.
1287. I. Suhariadi, K. Oshikawa, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO Films via Nitrogen-Mediated Crystallization as Buffer Layers for ZnO:Al Transparent Conducting Oxide, IUMRS‐ICEM 2012 , 2012.09.
1288. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Hydrogenated Amorphous Silicon Solar Cells Fabricated by a Multi-hollow Discharge Plasma CVD Method, IUMRS‐ICEM 2012 , 2012.09.
1289. K. Kuwahara, N. Itagaki, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, ZnO films with buffer layers crystallized via nitrogen mediation: effects of thickness of buffer layers, IUMRS‐ICEM 2012 , 2012.09.
1290. R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition of hard carbon films by using H-assisted plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
1291. 北崎訓, 古閑一憲, 白谷正治, 林信哉, コンビナトリアル大気圧DBDプラズマ照射による植物の成長促進, 成24年度(第65回)電気関係学会九州支部連合大会, 2012.09.
1292. 北崎訓, 古閑一憲, 白谷正治, 林信哉, 周期同調酵母への大気圧DBDプラズマ照射の効果, 成24年度(第65回)電気関係学会九州支部連合大会, 2012.09.
1293. G. Uchida, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, An infrared laser trap of a single dust particle for study of plasma-surface interactions , IUMRS‐ICEM 2012 , 2012.09.
1294. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si quantum-dot thin films for solar cell applications using multi-hollow discharge plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
1295. K. Kamataki, M. Shiratani, T. Ishihara, H. Nagano, Y. Morita, K. Kuwahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, High Capacity Li Ion Battery Anodes Using Silicon Carbide Nanoparticles Produced by Double Multi-Hollow Discharge Plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
1296. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances, IUMRS‐ICEM 2012 , 2012.09.
1297. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Selective deposition of nanoparticles to valleys of texture substrates , IUMRS‐ICEM 2012 , 2012.09.
1298. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, A Theoretical Model of a Relationship between Plasma Fluctuation and Nanostructure Fluctuation, IUMRS‐ICEM 2012 , 2012.09.
1299. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, In-situ Measurements of Size Distribution of Nanoparticles Formed in Reactive Plasmas Using a Laser Light Scattering Method, IUMRS‐ICEM 2012 , 2012.09.
1300. 市田大樹, 王玉亭, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Si量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化, 第6回プラズマエレクトロニクスインキュベーションホール, 2012.09.
1301. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑 一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, the LHD Experimental Group, S. Bornholdt, H. Kersten, プラズマ壁相互作用により発生するカーボンナノダストの生成に関する実験, 第6回プラズマエレクトロニクスインキュベーションホール, 2012.09.
1302. 廣瀬忠史, 松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、板垣奈穂、 古閑一憲、 白谷正治, 高効率量子井戸型太陽電池のための新規窒化物半導体薄膜の作製 , 第6回プラズマエレクトロニクスインキュベーションホール, 2012.09.
1303. K. Koga, D. Yamashita, S. Kitazaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Optical Trapping of Single Fine Particle in Plasmas for study of interactions between a fine particle and plasmas, NANOSMAT 2012, 2012.09.
1304. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on the fabrication of paint-type Si quantum dot-sensitized solar cells, International Conference on Electronic materials and Nanotechnology for Green Environment (ENGE 2012), 2012.09.
1305. 鳥越隆平, 浦川達也, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝 , プラズマ異方性CVD による窒化レジスト上への硬質カーボン膜の製膜, 第73回応用物理学会学術講演会, 2012.09.
1306. K. Koga, T. Urakawa, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile and properties of plasma CVD carbon films, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
1307. 北崎訓, T. Sarinont, 古閑一憲, 白谷正治, 林信哉 , コンビナトリアル大気圧DBDプラズマ照射による植物の成長促進, 第73回応用物理学会学術講演会, 2012.09.
1308. 林信哉, 神田康三, 大島一里, 柳生義人, 米須章, 古閑一憲, 白谷正治, プラズマの農業応用 -農産物殺菌から植物成長制御まで-, 第73回応用物理学会学術講演会, 2012.09.
1309. N. Itagaki, I. Suhariadi, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
1310. 森田康彦, 鎌滝晋礼, 内田儀一郎, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己 , 大容量リチウムイオン電池用プラズマCVD生成SiCナノ粒子負極の開発, 第73回応用物理学会学術講演会, 2012.09.
1311. 内田儀一郎, 鎌滝晋礼, 森田康彦, H. Seo, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治, 微粒子プラズマ中におけるAr準安定粒子密度の空間分布計測, 第73回応用物理学会学術講演会, 2012.09.
1312. M. Shiratani, G. Uchida, K. Koga, R. Torigoe, T. Urakawa, Plasma CVD of hard carbon films on PMMA, 2012 International Conference on Flexible and Printed Electronics (ICFPE2012), 2012.09.
1313. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, N. Hayashi, Growth Enhancement of Plants by Combinatorial Plasma Irradiation, The 9th International Bioelectrics Symposium (BIOELECTRICS 2012) , 2012.09.
1314. H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki and M. Shiratani, The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si, International Union of Materials Research Society – International Conference in Asia – 2012 (IUMRS-ICA-2012) , 2012.08.
1315. M. Shiratani, N. Itagaki, K. Koga, Uchida G., K. Kamataki, H. Seo, Nanostructure control of thin films depoisted by plasmas and its application to fabrication of green energy devices (Invited), (APT2012) The 2nd Advanced Plasma Technology for Green Energy and Biomedical Applications, 2012.08.
1316. H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, M. Shiratani, M. Son, H. Kim, The effects of the electrolyte composition on the performance of Si quantum dot-sensitized solar cells, 63rd Annual Meeting of the International Society of Electrochemistry, 2012.08.
1317. G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si nano-particle films for Si quantum-dots sensitized solar cells, The 6th International Conference on Technological Advances of Thin Films and Surface Coating, 2012.07.
1318. S. Iwashita, G. Uchida, J. Schulze, E. Sch¨ungel, P. Hartmann, K. Koga, M. Shiratani, Zolt´an Donk´o, U. Czarnetzki, Development of dust particle manipulation method in capacitively coupled plasmas via Electrical Asymmetry Effect, 22th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG2012), 2012.07.
1319. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Impacts of plasma fluctuation on nanoparticle growth in reactive plasmas, IEEE ICOPS2012, 2012.07.
1320. R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Plasma etching resistance of plasma anisotropic CVD carbon films, IEEE ICOPS2012, 2012.07.
1321. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, ダイバータシミュレータ中のカーボンナノダストの生成と輸送に関する実験, 第9回核融合エネルギー連合講演会, 2012.06.
1322. 芦川直子, 鳥養祐二, 深山健介, 浜地志憲, 古閑一憲, 山内有二, 信太祐二, 上田良夫, 日野友明, 松山政夫, LHD実験グループ, LHDにおける炭素堆積層評価および水素保持特性に関する研究, 第9回核融合エネルギー連合講演会, 2012.06.
1323. G. Uchida, K. Kamataki, H. Seo, Y. Morita, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Application of SiC nanoparticle films to energy conversion devices: lithium ion batteries and quantum-dot solar cells (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1324. Y. Hashimoto, K. Hatozaki, Y. Kim, G.u Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films with a cluster eliminating filter, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1325. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON films with high crystallinity for photovoltaic applications, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1326. Y. Morita, K. Kamataki, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, M. Shiratani, Observation of growth of nano-particles using a high speed camera, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1327. H. Seo, Y. wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The fabrication of multi-layered Si quantum dot-sensitized solar cells for better light harvesting (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1328. 板垣奈穂、松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、古閑一憲、白谷正治, 高効率量子井戸型太陽電池のための新規酸窒化物半導体薄膜の作製, 第9回「次世代の太陽光発電システム」シンポジウム, 2012.05.
1329. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of Substrate DC Bias Voltage on Dust Collection Efficiency in Large Helical Device, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
1330. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki,, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge Power Dependence of Carbon Dust Flux in a Diverter Simulator, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
1331. S. Iwashita, J. Schulze, E. Schüngel, G. Uchida, K. Koga, M. Shiratani, P. Hartmann, Z. Donkó, U. Czarnetzki , Control of transport of micrometer-sized particles in capacitively coupled plasmas , 13th Workshop on the Physics of Dusty Plasma (WPDP2012), 2012.05.
1332. M. Shiratani, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition, 2012 MRS Spring Meeting, 2012.04.
1333. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Study for Chracterization of Nanoparticle-Suppressed Plasma CVD for Microcrystalline Film Deposition, 2012 MRS Spring Meeting, 2012.04.
1334. H. Seo, Y. Kim, M. Sato, Y. Wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The analysis on the optical properties of size-controllable Si nano-particles by multi-hollow plasma discharge CVD, 2012 MRS Spring Meeting, 2012.04.
1335. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Effects of Atmospheric Pressure Dielectric Barrier Discharges Irradiation on Yeast Growth, 2012 MRS Spring Meeting, 2012.04.
1336. Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Influence of Atmospheric Pressure Torch Plasma Irradiation on Plant Growth, 2012 MRS Spring Meeting, 2012.04.
1337. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Rapid growth of radish sprouts using low pressure oxygen radio frequency plasma irradiation, 2012 MRS Spring Meeting, 2012.04.
1338. K. Koga, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Effects of incorporation of clusters generated in the plasma ignition phase on Schottky cell performance of amorphous silicon films, The Fourth International Workshop on Thin-Film Silicon Solar Cells (IWTFSSC-4), 2012.03.
1339. 浦川達也, 鳥越隆平, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマ異方性CVDカーボン膜の硬度のイオンエネルギー依存性, 第59回応用物理学関係連合講演会, 2012.03.
1340. 白谷正治, 鎌滝晋礼, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, プラズマ揺らぎとプラズマ生成ナノ粒子のサイズ分布実験と理論, 第59回応用物理学関係連合講演会, 2012.03.
1341. 板垣奈穂, I. Suhariadi, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化バッファー層によるZnO:Al 薄膜の結晶性制御: 窒素供給量の影響, 第59回応用物理学関係連合講演会, 2012.03.
1342. Y. Kim, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Effects of Silicon Nano-particles on Properties of Microcrystalline Silicon Thin Films Frabricated using Multi-hollow Discharge CVD Plasmas, 第59回応用物理学関係連合講演会, 2012.03.
1343. 橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 徐絃雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたP ドープn 型a-Si:H の製膜, 第59回応用物理学関係連合講演会, 2012.03.
1344. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, L H D実験グループ, ダストの生成に対する熱流束の影響とダストフラックスの制御, 第59回応用物理学関係連合講演会, 2012.03.
1345. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 高安定a-Si:H 膜を用いたショットキーセル特性の光照射時間依存性, 第59回応用物理学関係連合講演会, 2012.03.
1346. 北﨑訓, 古閑一憲, 白谷正治, 林信哉, 酵母の成長特性に対する大気圧D B D 照射効果, 第59回応用物理学関係連合講演会, 2012.03.
1347. 秋吉雄介, 林信哉, 北﨑訓, 古閑一憲, 白谷正治, 松下智直, 酸素ラジカル照射による植物細胞内の酸化還元応答と成長促進効果, 第59回応用物理学関係連合講演会, 2012.03.
1348. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子量子ドット増感型太陽電池電極の最適化, 第59回応用物理学関係連合講演会, 2012.03.
1349. 内田儀一郎, 佐藤宗治, 徐鉉雄, 王玉亭, 鎌滝普札, 板垣奈穂, 古閑一憲, 白谷正治, 窒化Si ナノ粒子膜を用いた量子ドット増感型太陽電池の効率波長依存性, 第59回応用物理学関係連合講演会, 2012.03.
1350. K. Koga, K. Kamataki, S. Nunomura, S. Iwashita, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, U. Czarnetzki, Three growth modes of nanoparticles generated in reactive plasmas, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
1351. S. Iwashita, G. Uchida, J. Schulze, E. Schüngel, K. Koga, M. Shiratani, P. Hartmann, Z. Donko, U. Czarnetzki, Control of transport and distribution of dust particles in capacitively coupled plasmas, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
1352. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth control of dry yeast using atmospheric pressure dielectric barrier discharge plasma irradiation, The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
1353. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Plasma fluctuation and plasma nanotechnologies (Invited), The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
1354. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Properties of microcrystalline silicon thin films with/without silicon nanoparticles deposited by multi-hollow plasma CVD, The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
1355. M. Shiratani, K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, A-Si:H Schottky Cells with Quite Low Light Induced Degradation Fabricated by Multi-hollow Discharge Plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1356. I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, K. Nakahara, D. Yamashita, H. Seo, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effect of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1357. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Etching rate of carbon films deposited by H-assisted plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1358. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Interaction between amplitude modulated plasmas and nanoparitcles growth in the plasmas, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1359. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Chracteristics of Nanoparticle-supressed CVD Plasmas for Microcrystalline Silicon Film Deposition, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1360. H. Seo, M. Sato, Y. Wang, Y. Kim, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the adhesion of Si nano-particles for Si quantum dot-sensitized solar cells, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1361. G. Uchida, Y. Wang, M. Sato, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Control of dust-particle transportation in multi-frequency capacitively coupled radio frequency discharge, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1362. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. MasuzakiI, K. Nishimura, A. sagara, The LHD Expreimental Group, S. Bornholdt, H. Kersten, Mesurements of energy influx towards a graphite target in helicon H2 discharge plasmas using a calorimetric probe , 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1363. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Measurements of Nitric Oxide Generated from Dry Yeast Irradiated by Dielectric Barrier Discharge Plasmas, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1364. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Impacts of plasma fluctuation on nanostructure formation using plasmas (Invited), The Third International Symposium on Plasma Nanoscience (iPlasmaNano-III), 2012.02.
1365. 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, プラズマとナノ界面の相互作用の制御, 東北大学電気通信研究所共同プロジェクト研究会, 仙台"プラズマフォーラム", 2012.02.
1366. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of carbon films on fine trench using low temperature H-assisted plasma CVD method, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
1367. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of nano-particles on multi-hollow discharge CVD plasmas for microcrystalline silicon thin film deposition, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
1368. M. Shiratani, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, Recent progress in frontier science of interactions between plasmas and nano-interfaces (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1369. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films with a cluster eliminating filter, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1370. K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, M. Sato, H. Matsuzaki, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Deposition of p-type a-Si:H using SiH4+ B10H14 multi-hollow discharge plasma CVD as a window layer for pin cell, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1371. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trench structure using plasma anisotropic CVD method, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1372. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge power dependence of dust flux in helicon discharge reactor, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1373. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust Removal by Applying Bias Voltage to Reactor Wall in Large Helical Device, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1374. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, Effect of N2/Ar Flow Rate Gas Ratio on the AZO Thin Films with Buffer Layers Deposited via Nitrogen Mediated Crystallization, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1375. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of deposition temperature on the properties of ZnO films fabricated via nitrogen mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1376. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of Nano-particles on Properties of Microcrystalline Silicon Thin Films Fabricated using Multi-hollow Discharge CVD Plasmas, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1377. T. Matsunaga, Y. Kim, K. Koga, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Effects on nano-patricls on crystalline orientation of microcrystalline silicon films for solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1378. K. Matsushima, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Novel Oxynitride Semiconductors by Magnetron Sputtering for Photovoltaic application, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1379. K. Oshikawa, I. Suhariadi, K. Kuwahara, D. Yamashita, Seo H., G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO-Based Transparent Conducting Films on Buffer Layers Crystallized via Nitrogen Mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1380. K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, High stabilization of a-Si:H films by discharge plasma control, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1381. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Optimization of Redox Electrolyte for Higher Performance of Si Quantum Dot-sensitized Solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1382. H. Seo, M. Sato, Y. wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the combination between TiO2 and Si nano-particles for higher performance of Si quantum dot-sensitized solar cells (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1383. R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Bias voltage dependence of mass density of plasma CVD carbon films, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1384. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth characteristics of bread yeast using atmospheric pressure dielectric barrier discharge irradiation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1385. M. Sato, G. Uchida, H. Seo, Y. Wang, K. Nakahara, T. Matsunaga, K. Koga, M. Shiratani, Quantum Efficiency of Quantum Dot-Sensitized Solar Cells Using Nitridated Si Nano-Particles Produced by Double Multi-Hollow Discharges PECVD, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1386. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Performance of quantum dot sensitized solar cells with nitridated Si nanoparticle films, 第21回日本MRS学術シンポジウム, 2011.12.
1387. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio, 第21回日本MRS学術シンポジウム, 2011.12.
1388. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dot-sensitized Solar Cells by Surface Modification Using ZnO barrier layer and 400nm TiO2 Particles, 第21回日本MRS学術シンポジウム, 2011.12.
1389. Y. Akiyoshi, A. Nakahigashi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Effect of Atmospheric Pressure Torch Plasma Irradiation on Plant Cells, 第21回日本MRS学術シンポジウム, 2011.12.
1390. T. Urakawa, R. Torigoe, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Substrate dc bias voltage dependence of mass density of carbon films deposited using H-assisted plasma CVD, 第21回日本MRS学術シンポジウム, 2011.12.
1391. M. Shiratani, K. Nakahara, K. Hatozaki, H. Seo, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Stable a-Si:H Schottky Cells Fabricated by Multi-hollow Discharge Plasma CVD, 第21回日本MRS学術シンポジウム, 2011.12.
1392. 鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマにおけるナノ粒子生成に対する放電電力摂動の効果, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
1393. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, クラスタ取込抑制マルチホロー放電プラズマCVD法で作製した光安定水素化アモルファスシリコン薄膜のショットキーセル特性, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
1394. 森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 徐鉉雄, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. BORNHOLDT, H. KERSTEN, 水素プラズマ-カーボン壁相互作用によるダスト生成に対する壁への熱流速の影響, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
1395. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, 水素プラズマのグラファイト壁への熱フラックスとダスト生成, PWI合同研究会, 2011.12.
1396. N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
1397. T. Matsunaga, Kim Y., K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
1398. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
1399. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, A study of optical emission spectroscopy on microcrystalline silicon film using multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1400. K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1401. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, H. Seo, G. Uchida, K. Koga, M. Shiratani, Properties and performance of si quantum dot-sensitized solar cells with low temperature titania paste, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1402. M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, K. Koga, M. Shiratani, Quantum dot-sensitized solar cells using nitridated si nanoparticles produced by double multi-hollow discharges, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1403. K. Hatozaki, K. Nakahara, G. Uchida, K. Koga, M. Shiratani, Stable schottky solar cells using cluster-free a-si:h prepared by multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1404. M. Shiratani, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Control of size distribution of nanoparticles in reactive plasmas by using AM rf discharges, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
1405. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of substrate bias voltage on dust collection efficiency, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
1406. 森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHDおよびLHDを模擬したヘリコン装置における水素ガスとグラファイトの相互作用により生じたダストの基板へのフラックスの基板バイアス電圧依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
1407. 橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたP ドープa-Si;H の製膜ドープ量依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
1408. 松島宏一, 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化法により作製したエピタキシャル酸化亜鉛薄膜の特性の成膜温度依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
1409. T. Mieno, K. Koga, M. Shiratani, Production and coagulation of carbon clusters by plasma methods, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
1410. 鳥越隆平, 浦川達也, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVD カーボン膜の硬度の基板バイアス依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
1411. 白谷正治, 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, プラズマCVDで成膜したSi薄膜へのナノ粒子含有の効果, Plasma Conference 2011 (PLASMA2011), 2011.11.
1412. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, Ar/N2マグネトロンスパッタによる低抵抗ZnO:Al膜の作製, Plasma Conference 2011 (PLASMA2011) , 2011.11.
1413. K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD, Plasma Conference 2011 (PLASMA2011), 2011.11.
1414. 中原賢太, 波戸﨑浩介, 橋本優史, 松永剛明, 佐藤宗治, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたクラスターフリー水素化アモルファスシリコンの製膜, Plasma Conference 2011 (PLASMA2011), 2011.11.
1415. 桑原和成, 中原賢太, 山下大輔, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリングプラズマへの窒素ガス添加のエピキシャルZnO薄膜物性に対する効果, Plasma Conference 2011 (PLASMA2011), 2011.11.
1416. 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマで作製した微結晶シリコン膜へのラジカルフラックス評価, Plasma Conference 2011 (PLASMA2011), 2011.11.
1417. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 高速ガス流マルチホロープラズマCVD法を用いた高光安定クラスタフリーa-Si:H膜の作製, Plasma Conference 2011 (PLASMA2011), 2011.11.
1418. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD 実験グループ, S. Bornholdt, H. Kersten, 高密度水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるカーボンダスト粒子の局所バイアス電圧印加による捕集, Plasma Conference 2011 (PLASMA2011), 2011.11.
1419. 松永剛明, 金淵元, 古閑一憲, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 微結晶シリコン薄膜作製用マルチホロー放電プラズマに対するナノ粒子の影響, Plasma Conference 2011 (PLASMA2011), 2011.11.
1420. 佐藤宗治, 王玉亭, 中原賢太, 松永剛明, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, FeSi2ナノ粒子薄膜の堆積, Plasma Conference 2011 (PLASMA2011), 2011.11.
1421. 三重野哲, 古閑一憲, 白谷正治, カーボンナノチューブ微粒子のプラズマ合成過程, Plasma Conference 2011 (PLASMA2011), 2011.11.
1422. 浦川達也, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, 水素原子源付プラズマCVD装置を用いた炭素薄膜の異方性製膜に対する基板バイアス電圧の影響, Plasma Conference 2011 (PLASMA2011), 2011.11.
1423. 北﨑訓, 古閑一憲, 白谷正治, 林信哉, 大気圧バリア放電プラズマ照射による酵母の成長促進特性, Plasma Conference 2011 (PLASMA2011), 2011.11.
1424. 古閑一憲, 浦川達也, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝, 低温プラズマ異方性CVDを用いた微細トレンチ上面への自己組織カーボンマスク形成, Plasma Conference 2011 (PLASMA2011) , 2011.11.
1425. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Si量子ドット増感型太陽電池の電解液最適化, Plasma Conference 2011 (PLASMA2011), 2011.11.
1426. Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Influence of Atmospheric Pressure Torch Plasma Irradiation on Plant Growth, 64th Gaseous Electronics Conference , 2011.11.
1427. M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trenched substrate by simultaneous plasma CVD and plasma etching, 64th Gaseous Electronics Conference , 2011.11.
1428. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface modification using ZnO barrier layer, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
1429. N. Hayashi, Y. Akiyoshi, S. Kitazaki, K. Koga, M. Shiratani, Influence of active oxygen species produced by atmospheric torch plasma on plant growth, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
1430. T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Optical emission spectroscopy of Ar+H2+ C7H8 discharges for anisotropic plasma CVD of carbon, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
1431. 布村正太, 白谷正治, 古閑一憲, 渡辺征夫, プラズマ中ダストの帯電揺らぎによる凝集と成長~分子からクラスター、ダストへの成長~, 第29回Grain Formation Workshop/平成23年度銀河のダスト研究会, 2011.11.
1432. M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, Koga Koga, M. Shiratani, FeSi2 nano-particles embedded thin films by magnetron sputter deposition, 第15回薄膜国際会議 (ICTF-15), 2011.11.
1433. M. Shiratani, Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, Combinatorial Si Film Deposition by Multihollow Discharge Plasma CVD, 第15回薄膜国際会議 (ICTF-15), 2011.11.
1434. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化法による超均一・低抵抗酸化亜鉛薄膜の作製 , 第27回九州・山口プラズマ研究会(兼応用物理学会九州支部シンポジウム「プラズマ計測とその応用 ナノプロセスから環境まで」), 2011.11.
1435. M. Shiratani, G. Uchida, M. Sato, Y. Wang, K. Koga, N. Itagaki, Quantum dot sensitized solar cells using nanoparticles of Si compounds fabricated by multihollow discharge plasma CVD, AVS 58th International Symposium & Exhibition , 2011.11.
1436. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth promotion of bread yeast using atmospheric pressure dielectric barrier discharges , AVS 58th International Symposium & Exhibition , 2011.10.
1437. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, M. Shiratani, Impacts of Plasma Fluctuations in Reactive Plasmas (Invited), BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1438. 白谷正治, 古閑一憲, 自己組織化成長技術による極限ナノ加工プロセスの確立と有機基板上への高品質シリコン結晶成長の実現, CREST「ナノ科学を基盤とした革新的製造技術の創成」, 2011.10.
1439. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池, 第3回薄膜太陽電池セミナー, 2011.10.
1440. 金淵元, 松永剛明, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD 法を用いた微結晶シリコン薄膜のコンビナトリアル製膜, 第3回薄膜太陽電池セミナー, 2011.10.
1441. 波戸﨑浩介, 中原賢太, 松永剛明, 内田儀一郎, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDを用いたクラスターフリーa-Si:H膜の欠陥密度の基板温度依存性, 第3回薄膜太陽電池セミナー, 2011.10.
1442. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1443. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1444. 鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, プラズマCVD中におけるナノ粒子成長に対するプラズマ揺らぎの効果, プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念特別シンポジウム, 2011.10.
1445. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films for Quantum Dot Sensitized Solar Cells, Low Carbon Earth Summit (LCES-2011), 2011.10.
1446. M. Shiratani, K. Kamataki, K. Koga, G. Uchida, Two dimensional laser light scattering to deduce size and density of nanoparticles in plasmas (Invited), Laser Aided Plasma Diagnostic conference (LAPD15), 2011.10.
1447. 白谷正治, 鎌滝晋礼, 西山雄士, 古閑一憲, 内田儀一郎, 板垣奈穂, 高周波放電のAM変調によるナノ粒子サイズ分布の制御, 平成23年度(第64回)電気関係学会九州支部連合大会, 2011.09.
1448. 北﨑訓, 古閑一憲, 白谷正治, 林信哉, 大気圧バリア放電照射による酵母の増殖促進, 平成23年度(第64回)電気関係学会九州支部連合大会, 2011.09.
1449. M. Shiratani, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Deposition of quantum dot thin films using Si nanoparticles with surface nitridation (Invited), The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1450. M. Shiratani, K. Koga, G. Uchida, Plasma CVD of nanoparticle composite films and their applications (Plenary), The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1451. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Effects of Si nano-particle incorporation on properties of microcrystalline silicon thin films studied by multi-hollow discharge CVD, The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1452. T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Effects of flux of carbon radicals on anisotropic deposition of carbon films on fine trench using H-assisted plasma CVD, The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1453. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Spatial distribution of resistivity of ZnO:Al thin films fabricated on solid-phase crystallized seed layers, European Material Research Society 2011 Fall Meeting (E-MRS), 2011.09.
1454. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
1455. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, エピタキシャル酸化亜鉛薄膜作製における窒素添加効果, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
1456. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるナノダストの基板へのフラックスの基板バイアスによる制御, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
1457. 波戸﨑浩介, 中原賢太, 松永剛明, 佐藤宗治, 内田儀一郎, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDを用いて作製したクラスターフリーa-Si:Hのショットキーセルの特性, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
1458. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Frontier science of interactions between plasmas and nano‐interfaces (Plenary), (ICPAT2011)4th International Conference on Advanced Plasma Technologies, 2011.09.
1459. M. Shiratani, T. Urakawa, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Carbon protective layer on top surface of trench substrate using H-assisted plasma CVD (Invited), Workshop on Plasma Synthesis and Application of Nanomaterials , 2011.09.
1460. 古閑一憲, プロセスプラズマ中の微粒子挙動研究とその応用(特別講演), プラズマ科学のフロンティア2011研究会, 2011.09.
1461. 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ZnO:Al薄膜の抵抗率の面内均一性に対する固相結晶化シード層の効果, 第72回応用物理学会学術講演会, 2011.09.
1462. 中原賢太, 波戸﨑浩介, 松永剛明, 佐藤宗治, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDを用いて作製したクラスターフリーa-Si:Hの膜質の基板温度依存性, 第72回応用物理学会学術講演会, 2011.09.
1463. 佐藤宗治, 王玉亭, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 窒化Siナノ粒子含有薄膜の光導電率の波長依存性, 第72回応用物理学会学術講演会, 2011.09.
1464. 浦川達也, 鳥越隆平, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, 微細溝への炭素薄膜の製膜形状制御における水素フラックスの効果, 第72回応用物理学会学術講演会, 2011.09.
1465. 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとグラファイトの相互作用により発生したカーボンナノ粒子の基板バイアス電圧印加による配置制御, 第72回応用物理学会学術講演会, 2011.08.
1466. K. Kamataki , H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasmas, the XXX International Conference on Phenomena in Ionized Gases(ICPIG) 2012 Conference, 2011.08.
1467. 古閑一憲, CVDプラズマ中ナノ粒子の制御とその応用 (招待講演), AIST計測・診断システム研究協議会 第8回プラズマ技術研究会, 2011.08.
1468. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G.u Uchida, K. Koga, M. Shiratani, Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD, The 20th International Symposium on Plasma Chemistry (ISPC20), 2011.07.
1469. T. Urakawa, T. Nomura, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on submicron wide trench substrate using H-assisted plasma CVD, The 20th International Symposium on Plasma Chemistry (ISPC20), 2011.07.
1470. K. Hatozaki, K. Nakahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Highly stable schottky cells using cluster-free a-Si:H deposited by multi-hollow discharge plasma CVD method, The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
1471. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, Innovative Si solar cells: new approaches and demonstration of devices (Invited), The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
1472. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control towards Ultimate Plasma Nanotechnologies (Keynote), 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1473. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of high quality ZnO films via nitrogen-mediated crystallization, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1474. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1475. Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of nanoparticle incorporation on crystalline orientation of microcrystalline silicon films prepared by multi-hollow plasma discharge CVD, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1476. Y. Akiyoshi, Keiichi Yamamoto, A. Nakahigashi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Redox Characteristics of the components in plant cell using oxygen radicals, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1477. 古閑一憲, 基板バイアスによるダスト捕集の検討, 第9回LHDにおけるPWI共同研究・検討会, 2011.07.
1478. M. Shiratani, G. Uchida, K. Koga, Plasma Nanofactory, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1479. G. Uchida, M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films and its Application to Quantum dot Sensitized Solar Cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1480. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of solid-phase crystallization temperature on properties of epitaxial ZnO films, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1481. K. Nishiyama, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Nanoparticle formation due to interactions between H2 plasmas and graphite, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1482. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Surface modification with TiO2 films for Si quantum dot-sensitized solar cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1483. K. Koga, G. Uchida, M. Sato, Y. Wang, K. Nakahara, K. Kamataki, N. Itagaki, M. Shiratani, Effects of surface treatment on performance of Si nano-particle quantum dot solar cells, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1484. T. Matsunaga, Yeon Won Kim, K. Koga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process Window Evaluation of Microcrystalline Silicon Films with and without Incorporating Clusters using Multi-hollow Discharge Plasma CVD, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1485. K. Hatozaki, K. Nakahara, G. Uchida, K. Koga, M. Shiratani, Substrate temperature dependence of defect density of cluster-free a-Si:H films deposited using SiH4 multi-hollow discharge plasma CVD, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1486. M. Shiratani, K. Koga, G. Uchida, Applications of nanoparticles formed in reactive plasmas: from solar cells to LSI (Invited), International Workshop on Plasmas and Particles, 2011.06.
1487. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface coating, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
1488. 松永剛明, 金淵元, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD による微結晶シリコン製膜プロセスウィンドウのガス圧力依存性, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
1489. 浦川達也, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, 微細溝へのカーボン薄膜製膜速度の主放電電力依存性, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
1490. 白谷正治, 古閑一憲, 板垣奈穂, 内田儀一郎, 高光安定a-Si太陽電池の開発, 第3回薄膜コンソ技術委員会, 2011.05.
1491. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of highly conducting ZnO:Al films utilizing solid-phase crystallized seed layer, European Materials Research Society 2011 Spring Meeting , 2011.05.
1492. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, and M. Shiratani, High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers, European Materials Research Society 2011 Spring Meeting , 2011.05.
1493. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Transport control of carbon nanoparticles in plasmas by biasing wall potential for plasma nano-factories, European Materials Research Society 2011 Spring Meeting , 2011.05.
1494. M. Shiratani, K. Koga, Plasma nanofactories for constructing nanosystems in the third generation nanotechnology (Invited), 6th International Workshop on Microplasmas, 2011.04.
1495. 宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 振幅変調パルス放電を用いてトレンチ基板上に堆積させたナノ粒子の付着形状, 第58回応用物理学関係連合講演会, 2011.03.
1496. 佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄, 量子ドット増感太陽電池の電流密度-電圧特性の膜堆積による影響, 第58回応用物理学関係連合講演会, 2011.03.
1497. 金淵元, 松永剛明, 川嶋勇毅, 山下大輔, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, Combinatorial study on deposition profiles of silicon thin films deposited using high gas pressure multi-hollow discharge plasma CVD, 第58回応用物理学関係連合講演会, 2011.03.
1498. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤 宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+B10H14マルチホロー放電プラズマCVDによるp型a-Si:Hの製膜, 第58回応用物理学関係連合講演会, 2011.03.
1499. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電による表面窒化Siナノ粒子含有薄膜のコンビナトリアル成膜, 第58回応用物理学関係連合講演会, 2011.03.
1500. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVD 法を用いたラジカルフラックス評価, 第58回応用物理学関係連合講演会, 2011.03.
1501. 浦川達也, 野村卓矢, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVDで製膜したトレンチ基板上の炭素系薄膜の製膜速度の主放電電力依存性, 第58回応用物理学関係連合講演会, 2011.03.
1502. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマ中のナノ粒子成長に対する気相揺らぎの効果, 第58回応用物理学関係連合講演会, 2011.03.
1503. 古閑一憲, 野村卓也, 浦川達也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝, Deposition of carbon films on top surface of fine trenches at 100℃ using a plasma anisotropic CVD method, 第58回応用物理学関係連合講演会, 2011.03.
1504. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 固相結晶化シード層を用いた酸化亜鉛系透明導電膜の作製, 第58回応用物理学関係連合講演会, 2011.03.
1505. 内田儀一郎, 宮田大嗣, 鎌滝晋礼, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治, DM-DMOS微粒子プラズマ中におけるAr準安定粒子密度の時間変動, 第58回応用物理学関係連合講演会, 2011.03.
1506. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, 水素プラズマとグラファイトの相互作用により発生したナノダストの基板へのフラックスの基板バイアス電圧依存性, 第58回応用物理学関係連合講演会, 2011.03.
1507. 北崎訓, 内田儀一郎, 古閑一憲, 白谷正治, 林信哉, 大気圧バリア放電による酵母の成長促進, 第58回応用物理学関係連合講演会, 2011.03.
1508. 古閑一憲、西山雄士、白谷正治, Transport Control of Carbon Nanoparticles in H2 Helicon Discharges by Biasing Wall, NIFSダスト研「次世代核融合装置に向けたダスト問題に関する研究会」, 2011.03.
1509. M. Shiratani, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, Ultra Low Resistive NM Thick AZO Films Deposited by Magnetron Sputtering Using Solid Phase Crystallization, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1510. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Combinatorial deposition of surface nitridated Si nano-particle composite films by double multi-hollow discharges, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1511. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films of a low defect density using SiH4+PH3 multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1512. Y. Kim, T. Matsunaga, Y. Kawashima, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of substrate temperature on properties of μc-Si:H films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1513. T. Matsunaga, Y. Kim, Y. Kawashima, K. Koga, D. Yamashita, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process window of microcrystalline silicon films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1514. S. Kitazaki, G. Uchida, K. Koga, M. Shiratani, N. Hayashi, Growth enhancement of plants using atmospheric pressure dielectric barrier discharge irradiation, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1515. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Deposition of ?c-Si films using plasma CVD under high gas pressure conditions, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1516. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD for pin solar cell, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1517. Y. Kim, T. Matsunaga, Y. Kawashima, M. Sato, K. Nakahara, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of substrate temperature on microcrystalline silicon thin films deposited using plasma enhanced CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1518. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated silicon nano-particles by double multi-hollow discharge CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1519. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical and electrical properties of nitridated Si nano-particle composite thin films deposited by double multi-hollow discharges CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1520. S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi, Atmospheric pressure discharge device for biomedical application, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1521. M. Shiratani and K. Koga, Fluctuation of charge on a nano-particle in plasmas and its conseuquences, 2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ), 2011.03.
1522. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Deposition of Si nano-particle quantum dot thin film by multi-hollow discharge and their application to the third generation hotovoltaics, 2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ), 2011.03.
1523. K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani, Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics (Invited), International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011), 2011.02.
1524. 白谷正治, 宮田大嗣, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHD第一壁へのダストフラックスに対する壁電位の効果 , 核融合科学研究所一般共同研究成果報告会(NIFS), 2011.01.
1525. K. Koga, T. Matsunaga, Y. Kawashima, Y. Kim, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Radical flux evaluation of high pressure silane plasma CVD using multi-hollow discharges (Invited), The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1526. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Combinatorial study on deposition profiles of silicon thin films deposited using multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1527. H. Miyata, S. Iwashita, K. Nishiyama, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of SiOx-CH3 nano-particles on trench substrates using pulse RF discharges, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1528. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Electrical characteristics of Si nanoparticles/ruthenium dye hybrid sensitized solar cells, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1529. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G.u Uchida, N. Itagaki, K. Koga, M. Shiratani, Flux measurements of carbon dust particles towards biased substrates in H2 helicon discharge plasmas, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1530. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated Si particle composite films by double multi-hollow plasma CVD method, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1531. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Low resistivity AZO films fabricated on solid phase crystallized ZnO buffer layer: solid phase crystallization temperature dependence, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1532. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical bandgap energy of B-doped a-Si:H films depositedby SiH4+B10H14multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1533. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani , Photoluminescence measurement of nitridated Si particles generated by double multi-hollow discharge PECVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1534. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Property evaluation of silicon films deposited using high gas pressure multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1535. D. Yamashita, K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Surface reactions of P-doped a-Si:H films deposition using SiH4+PH3, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1536. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Emission intensity measurements of Ar+H2+C7H8 plasmas using H-assisted plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1537. S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi, Growth promotion of plants using low pressure O2 RF discharges, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1538. T. Urakawa, T. Nomura, H. Matsuzaki, D. Yamashita, G.u Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Main discharge dependence of deposition rate of plasma CVD carbon films de-posited using H-assisted plasma CVD reactor, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1539. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate of SiH4+PH3 multi-hollow plasma CVD a-Si:H films, 第20回日本MRS学術シンポジウム, 2010.12.
1540. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and the application to solar cell, 第20回日本MRS学術シンポジウム, 2010.12.
1541. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Investigation of Interaction between of Growth of Nano Particles and Plasma Fluctuations in Plasma CVD, 第20回日本MRS学術シンポジウム, 2010.12.
1542. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Microcrystalline silicon films deposited by using multi-hollow discharge plasma CVD under high pressure depletion conditions, 第20回日本MRS学術シンポジウム, 2010.12.
1543. Y. Akiyoshi, A. Nakahigashi, N. Hayashi, Satosi Kitazaki, K. Koga, M. Shiratani, Influence Of Atmospheric Pressure Torch Plasma Irradiation On Plant Growth, 第20回日本MRS学術シンポジウム, 2010.12.
1544. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 鎌滝晋礼, 近藤道雄, 白谷正治, マルチホロー放電プラズマCVD を用いた第三世代太陽電池用結晶シリコンナノ粒子のサイズ制御, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1545. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+B10H14マルチホロー放電プラズマCVDを用いたBドーピングa-Si:Hの堆積, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1546. 金淵元, 松永剛明, 川嶋勇毅, 中原賢太, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Substrate temperature dependence of microcrystalline silicon deposition by multi-hollow discharge plasma CVD, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1547. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, スパッタリング法を用いた新規固相結晶化法によるエピタキシャル酸化亜鉛薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1548. 佐藤宗治, 山本康介, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電によるN2/SiH4プラズマの独立制御, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1549. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電プラズマを用いた表面窒化Siナノ粒子含有薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1550. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, プラズマCVD中のナノ粒子成長に対するプラズマ揺動の影響, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1551. 松永剛明, 川嶋勇毅, 金淵元, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVDを用いたμc-Si:Hのコンビナトリアル製膜によるSiH3,Hフラックス解析, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1552. 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 振幅変調パルス放電を用いた微細パターン基板へのナノ粒子の堆積, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1553. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 水素プラズマとグラファイトとの相互作用により発生したダストのフラックスの壁電位依存性, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1554. 浦川達也, 野村卓矢, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVDで形成したカーボン薄膜のトレンチ基板上への成膜形状の主放電電力依存性, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1555. 野村卓矢, 浦川達也, 山下大輔, 松崎秀文, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 堀勝, 関根誠, 水素原子源付プラズマCVD装置で生成したH2+Ar+C7H8プラズマの発光強度計測, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1556. 北﨑訓, 古閑一憲, 白谷正治, 林信哉, 大気圧DBDを用いた植物および酵母の成長促進, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1557. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, A novel control method of nano-strucutre of oxide films using sputtering deposition (Keynote Speech), The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) , 2010.12.
1558. 古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 微細パターン基板へのSiOx-CH3ナノ粒子堆積, 第27回プラズマ・核融合学会年会, 2010.12.
1559. 古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 芦川直子, 増﨑貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ-カーボン壁相互作用で発生したカーボン微粒子の基板へのフラックス評価, 第27回プラズマ・核融合学会年会, 2010.11.
1560. 中東朱里, 秋吉雄介, 林信哉, 白谷正治, 古閑一憲, 酸素および水プラズマによる植物の成長促進, 第27回プラズマ・核融合学会年会, 2010.11.
1561. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄, シリコンナノ粒子とRu色素を用いた増感太陽電池の電流密度-電圧特性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1562. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電を用いた表面窒化シリコン粒子の生成, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1563. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で堆積したPドープa-Si:H膜の導電率, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1564. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 高ガス圧力条件における微結晶シリコン薄膜の作製, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1565. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素原子を介した酸化亜鉛薄膜の固相結晶化におけるアニール温度依存性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1566. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 微細トレンチ基板へのナノ粒子の堆積, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1567. 野村卓矢, 浦川達也, 山下大輔, 松崎秀文, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, Ar+H2+C7H8プラズマの水素発光強度計測, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1568. 浦川達也, 野村卓矢, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVDカーボン膜の堆積速度の基板配置位置依存性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1569. 山下大輔, 浦川達也, 野村卓矢, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, 異方性プラズマCVDで堆積したカーボン膜のエッチングレート, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1570. 北崎訓, 古閑一憲, 白谷正治, 林信哉, 活性酸素種を用いた植物の成長促進特性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1571. 林信哉, 中東朱里, 北崎訓, 古閑一憲, 白谷正治, 水プラズマによるチオール化合物の酸化還元特性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1572. K. Koga, T. Nomura, G. Uchida, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on the surface of fine structures using plasma CVD (Invited), The 1st Korean-Japan Symposium on Surface Technology, 2010.11.
1573. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon nanoparticles and the application to solar cell (Invited), The 1st Korean-Japan Symposium on Surface Technology, 2010.11.
1574. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control for Plasma Nanotechnologies (Keynote Speech), International technical conference of IEEE Region 10, 2010.11.
1575. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-Free B-Doped a-Si:H Films Deposited Using SiH4 + B10H14 Multi-Hollow Discharges, International technical conference of IEEE Region 10, 2010.11.
1576. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, W. M. Nakamura, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Deposition profiles of microcrystalline silicon films using multi-hollow discharge plasma CVD, International technical conference of IEEE Region 10, 2010.11.
1577. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasmas, International technical conference of IEEE Region 10, 2010.11.
1578. G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, Dsaisuke Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge, International technical conference of IEEE Region 10, 2010.11.
1579. S. Kitazaki, D. Yamashita, H. Matsuzaki, G.u Uchida, K. Koga, M. Shiratani, Growth Stimulation of Radish Sprouts Using Discharge Plasmas, International technical conference of IEEE Region 10, 2010.11.
1580. Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Photoluminescence of Si nanoparticles synthesized using multi-hollow discharge plasma CVD, International technical conference of IEEE Region 10, 2010.11.
1581. Y. Akiyoshi, A. Nakahigashi, N. Hayashi, S. Kitazaki, T. Iwao, K. Koga, M. Shiratani, Redox Characteristics of Amino Acids Using Low Pressure Water Vapor RF Plasma, International technical conference of IEEE Region 10, 2010.11.
1582. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Substrate temperature dependence of feature profile of carbon films on substrate with submicron trenches, International technical conference of IEEE Region 10, 2010.11.
1583. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-free p-type a Si:H films deposited using SiH4 + B10H14 multi-hollow discharges, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1584. T. Matsunaga, Y. Kawashima, K. Koga, M. Sato, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of microcrystalline silicon filmas deposited by using multi-hollow discharge plasma CVD, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1585. Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Novel solar cells using Si nanoparticles, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1586. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth stimulation of sprouts using plasma irradiation, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1587. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Y. Stshuhara, M. Sekine, M. Hori, Substrate temperature dependence of feature profile plasma CVD carbon films on trenched substrates, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1588. M. Shiratani, H. Miyata, K. Nishiyama, S. Iwashita, D.Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, In-situ collection of dust particles produced due to interaction between helicon discharge plasmasand graphite on substrates with bias voltage, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
1589. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particle in SiH4/H2 and N2 multi-hollow discharges, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
1590. M. Shiratani, K. Koga, G.u Uchida, N. Itagaki, K. Kamataki, Manipulation of Nano-objects Uusing Plasmas for a Plasma Nano-factory (Invited), The 11th Asia Pacific Physics Conference (APPC11), 2010.11, 新しいボトムアッププロセスとしてナノ粒子の操作をプラズマを用いて行う新概念の提案とその実験的検証に関する報告を行った。.
1591. G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
1592. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles, 2010 International Symposium on Dry Process Program (DPS), 2010.11.
1593. K. Koga, H. Miyata, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD Experimental Group, Deposition of Nanoparticles using Substrate Bias Voltage, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
1594. 古閑一憲, 北﨑訓, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ-細胞相互作用による細胞活性制御, 第26回九州・山口プラズマ研究会, 2010.11.
1595. 板垣奈穂, 桑原和成, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, アモルファス相からの固相結晶化による酸化亜鉛薄膜の作製, 第26回九州・山口プラズマ研究会, 2010.11.
1596. 内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 窒化シリコン微粒子の生成と太陽電池への応用, 第26回九州・山口プラズマ研究会, 2010.11.
1597. S. Kitazaki, T. Iwao, G. Uchida, K. Koga, M. Shiratani, N. Hayashi, Scalable atmospheric DBD device for biomedical processing, AVS 57th International Symposium & Exhibition, 2010.10.
1598. M. Shiratani, K. Koga, T. Matsunaga, Y. Kawashima, W. M. Nakamura, G. Uchida, N. Itagaki, Combinatorial plasma CVD of Si thin films with a multihollow discharge plasma CVD reactor, AVS 57th International Symposium & Exhibition, 2010.10.
1599. K. Koga, K. Nakahara, T. Matsunaga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, High speed deposition of highly stable a-Si:H films using pure silane multi-hollow discharges, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1600. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Carrier generation in Si quantum dots-sensitized solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1601. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of cluster-free B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1602. H. Miyata, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Effects of H2 plasma irradiation to TiO2 on quantum dot/dye-sensitized solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1603. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, M. Sato, D. Yamashita, G. Uchida, N. Itagaki, M. Shiratani, Optical and electrical properties of microcrystalline silicon thin films deposited by mutli-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1604. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H.Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Production of nitridated silicon particles for quantum dot solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1605. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, ZnO transparent conductive films prepared by solid-phase crystallization from amorphous phase, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1606. S. Kitazaki, Y. Kawashima, G. Uchida, K. Koga, M. Shiratani, Atmospheric Pressure Discharge Treatment of TiO2 Layer of quantum dot/dye sensitized solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1607. T. Urakawa, T. Nomura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of carbon films on nano-patterned substrates using H-assisted plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1608. T. Nomura, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Raman spectroscopy of carbon films deposited by plasma anisotorpic CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1609. K. Nishiyama, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Rapid transport of nano-particles as a key technology for fabrication of quantum-dot solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1610. K. Koga, G. Uchida, Y. Kawashima, M. Sato, K. Yamamoto, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, M. Shiratani, Si quantum dot-sensitized solar cells using Si nanoparticles produced by multi-hollow discharge, 3rd International Symposium on Innovative Solar Cells, 2010.10.
1611. A. Nakahigashi, Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Redox Characteristics of Thiol of Plants Using Radicals Produced by RF Discharge, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1612. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of a-ZnON films by Ar/N2 sputtering for solid-phase crystallization of ZnO, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1613. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group, Carbon dust particles generated due to H2 plasma-carbon wall interaction, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1614. T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1615. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1616. G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1617. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Plasma parameter measurements of Ar+H2+C7H8 plasmas in H-assisted plasma CVD reactor, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1618. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+ B10H14マルチホロー放電プラズマCVD法によるBドープa-Si:Hの製膜, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
1619. 山本康介, 川嶋勇毅, 佐藤宗治, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ダブルマルチホロー放電プラズマを用いた表面窒化シリコン粒子の生成, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
1620. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣菜穂, 白谷正治, 高ガス圧力条件における微結晶シリコン薄膜の結晶化率分布, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
1621. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素原子を介した固相結晶化法による酸化亜鉛薄膜の作製, 第71回応用物理学会学術講演会, 2010.09.
1622. 内田儀一郎, 佐藤宗治, 川嶋勇毅, 中原賢太, 山本康介, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ダブルマルチホロー放電によるシリコン粒子の表面窒化, 第71回応用物理学会学術講演会, 2010.09.
1623. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 山下大輔, 松崎秀文, SiH4+ B10H14マルチホロー放電プラズマCVD法を用いたBドープa-Si:Hの製膜, 第71回応用物理学会学術講演会, 2010.09.
1624. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 高ガス圧力微結晶シリコン製膜条件における結晶化率2次元分布, 第71回応用物理学会学術講演会, 2010.09.
1625. 野村卓矢, 浦川達也, 是永有輝, 山下大輔, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVD炭素薄膜の製膜形状の基板温度依存性, 第71回応用物理学会学術講演会, 2010.09.
1626. 北崎訓, 岩尾拓朗, 古閑一憲, 白谷正治, 林信哉, 酸素プラズマを用いたスプラウトの成長促進, 第71回応用物理学会学術講演会, 2010.09.
1627. 宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, 水素プラズマとグラファイトの相互作用で発生したダストの壁へのフラックスに対する壁電位の影響, 第71回応用物理学会学術講演会, 2010.09.
1628. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマ中のナノ粒子成長に対する電力摂動の効果, 第71回応用物理学会学術講演会, 2010.09.
1629. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 松崎秀文, 内田儀一郎, 近藤道雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子増感太陽電池の光電流の照射光強度依存性, 第71回応用物理学会学術講演会, 2010.09.
1630. 内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, シリコンナノ微粒子の生成と太陽電池への応用, 平成22年度東北大学電気通信研究所共同プロジェクト研究会「微粒子プラズマの応用に関する基礎的研究」, 2010.08.
1631. S. Iwashita, H. Miyata, K. Koga, M. Shiratani, U. Czarnetzki, Control of nano-block transport using amplitude modulated pulse rf discharges (selected as a presentation in Hot Topic Session), 20th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG2010), 2010.07.
1632. M. Shiratani, G. Uchida, K. Koga, Evolution of green plasma nanotechnology for harvesting energy devices (Invited), The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
1633. M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Generation of Si particles and their nitridation using double multihollow discharges, The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
1634. S. Kitazaki, T. Iwao, K. Koga, M. Shiratai, N. Hayashi, Stimulation of plant growth using discharges plasmas, The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
1635. K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Comparison between Si thin films with and without incorporating nanoparticles into the film, 10th Asia Pacific Conference on Plasma Science and Technology (APCPST), 2010.07.
1636. K. Koga, Y. Kawashima, K. Nakahara, T. Matsunaga, W. M. Nakamura, M. Shiratani, Effects of hydrogen dilution on electron density in multi-hollow discharges with magnetic field for a-Si:H film deposition, 35th IEEE Photovoltaic Specialists Conference (PVSC), 2010.06.
1637. K. Nakahara, Y. Kawashima, T. Matsunaga, K. Koga, M. Shiratani, Deposition of cluster-free P-doped a-Si:H films using a multi-hollow discharge plasma CVD method, 35th IEEE Photovoltaic Specialists Conference (PVSC), 2010.06.
1638. Y. Kawashima, K. Nakahara, T. Matsunaga, H. Sato, K. Koga, M. Shiratani, M. Kondo, Si quantum dot-sensitized solar cells using Si nanoparticles produced by plasma CVD, 35th IEEE Photovoltaic Specialists Conference (PVSC), 2010.06.
1639. 古閑一憲, 宮田大嗣, 白谷正治, へリコンプラズマーカーボン壁相互作用で発生したカーボンダストの電場による収集, 第8回LHDにおけるPWI共同研究・検討会, 2010.06.
1640. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+PH3マルチホロー放電プラズマCVDによるn型a-Si:Hの製膜, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
1641. 佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ナノ粒子含有シリコン薄膜の光学特性, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
1642. 松永剛明, 川嶋勇毅, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いた 微結晶シリコン薄膜の作製と膜質評価, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
1643. K. Koga, Plasma CVD for Si thin film solar cells, 2010 International Workshop on Plasma Applications, 2010.06.
1644. S. Iwashita, H. Miyata, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group, Flux Measurements of Dust Particles during Hydrogen Discharges in LHD, 19th International Conference on Plasma Surface Interactions in Controlled Fusion Devices (PSI2010), 2010.05.
1645. K. Koga, S. Iwashita, H. Miyata, M. Shiratani, 振幅変調パルス放電による部分帯電ナノ粒子雲の輸送, 日本地球惑星科学連合年会, 2010.05.
1646. 田中昭代、平田美由紀、清原裕、古閑一憲、白谷正治, インジウム系太陽電池CIGSの気管内投与後の肺および血清金属濃度, 第80回日本衛生学会総会, 2010.05.
1647. 中原賢太, 佐藤宙, 川嶋勇毅, 古閑一憲, 白谷正治, SiH4+PH3マルチホロー放電プラズマCVDによるPドープa-Si:Hの製膜, 春季第57回応用物理学関係連合講演会, 2010.03.
1648. 川嶋勇毅, 中原賢太, 佐藤 宙, 古閑一憲, 白谷正治, 近藤道雄, プラズマCVDを用いたシリコンナノ粒子の作製と第三世代太陽電池への応用, 春季第57回応用物理学関係連合講演会, 2010.03.
1649. 林信哉, 中東朱里, 柳生義人, 古閑一憲, 白谷正治, 高周波酸素/水プラズマによるシステインの酸化特性, 春季第57回応用物理学関係連合講演会, 2010.03.
1650. 三重野哲, 薄葉州, 古閑一憲, 白谷正治, 無対流アーク放電によるナノチューブ合成過程のミー散乱測定, 春季第57回応用物理学関係連合講演会, 2010.03.
1651. 野村卓矢, 是永有輝, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根 誠, 堀勝, Ar+H2+C7H8 プラズマの電子密度計測, 春季第57回応用物理学関係連合講演会, 2010.03.
1652. 岩下伸也, 宮田大嗣, 山田泰之, 古閑一憲, 白谷正治, 芦川直子, 増崎 貴, 西村清彦, 相良明男, LHD実験グループ, 多面体ホルダによるLHD内ダストのin-situ捕集, 春季第57回応用物理学関係連合講演会, 2010.03.
1653. 宮田大嗣, 岩下伸也, 山田泰之, 古閑一憲, 白谷正治, 放電電力摂動のイオン密度への影響, 春季第57回応用物理学関係連合講演会, 2010.03.
1654. M. Shiratani, K. Koga, Frontier science of interactions between plasmas and nano-interfaces (Invited), The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1655. K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Deposition of n-type a-Si:H using SiH4+PH3 multi-hollow discharge plasma CVD, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1656. K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Deposition of n-type a-Si:H using SiH4+PH3 multi-hollow discharge plasma CVD, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1657. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Effects of amplitude modulation of RF discharge voltage on ion saturation current, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1658. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Effects of amplitude modulation of RF discharge voltage on ion saturation current, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1659. T. Nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Hα emission intensity measurements of H? + Ar + C?H? plasmas in H-assisted plasma CVD reactor, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1660. T. nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Hα emission intensity measurements of H2 + Ar + C7H8 plasmas in H-assisted plasma CVD reactor, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1661. Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani, Surface temperature rise of a-Si:H films during deposition in silane multi-hollow discharges, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1662. Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani, Surface temperature rise of a-Si:H films during deposition in silane multi-hollow discharges, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1663. S. Iwashita, H. Miyata, Y. Yamada, K. Koga, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka, Toxicity of CIGS nano-particles, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1664. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, M. Akiyama, Control of surface roughness of nano-particle composite low-k film deposited in CVD plasma, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1665. K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani, Effects of H2 Dilution on Deposition of a-Si:H Films using Silane Multi-Hollow Discharge Plasma CVD, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1666. Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for Quantum dots sensitized solar cells, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1667. W. M. Nakamura, H. Sato, K. Koga, M. Shiratani, High Deposition Rate of a-Si:H Films of Low Stabilized Defect Density, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1668. T. Nomura, Y. Korenaga, J. Umetsu, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Gas Flow Rate Ratio Dependence of Deposition Profile of H-Assisted Plasma CVD Carbon Films on Trench Substrates, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1669. M. Shiratani, K. Koga, Thin film silicon solar cells in the age of GW production lines (Invited), The Second International Symposium of Experiment-Integrated Computational Chemistry on Multiscale Fluidics (ECCMF2), 2010.02.
1670. K. Koga, H. Sato, Y. Kawashima, W. M. Nakamura, M. Shiratani, Effects of gas residence time and H2 dilution on electron density in multi-hollow discharges of SiH4+ H2, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1671. H. Sato, Y. Kawashima, K. Nakahara, K. Koga, M. Shiratani, Measurement of electron density in multi-hollow discharges with magnetic field, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1672. Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for Quantum dots-sensitized solar cells using multi-hollow discharge plasma CVD, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1673. T. Nomura, Y. Korenaga, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of hard carbon films on trenched substrates using H-assisted plasma CVD reactor, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1674. T. Mieno, G. Tan, S. Usuba, K. Koga, M. Shiratani, In-situ Measurement of Production Process of Nanotube-Aggregates by the Laser-Mie Scattering (Dependence of Arc Condition and Gravity), 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1675. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, In-Situ Sampling of Dust Particles Produced Due to Interaction between Main Discharge Plasmas and Inner Wall in LHD, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1676. S. Iwashita, H. Miyata, Y. Yamada, H. Matsuzaki, K. Koga, M. Shiratani, Observation of nano-particle transport in capacitively coupled radio frequency discharge plasmas, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1677. M. Shiratani, Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, Towards the multiple exciton generation solar cells ? Si quantum-dot sensitized solar cells (Invited), The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1678. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Carbon dust formed due to interaction between graphite and H2 plasma, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1679. Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo, Carrier extraction from Si nanoparitcles in quantum dots-sensitized solar cell, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1680. T. Nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Dependence of emission intensities on discharge power of H atom source in H2 + Ar + C7H8 CVD plasmas, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1681. K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Effects of hydrogen dilution on electron density in multi-hollow discharges with magnetic field, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1682. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Nano-particle composite ULK films deposited by plasma CVD, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1683. 古閑一憲, 岩下伸也, 宮田大嗣, 山田泰之, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHDの第一壁へのダストフラックスの評価, 第2回PWI合同研究会, 2009.12.
1684. 古閑一憲, 佐藤宙, 中村ウィリアム誠, 宮原弘臣, 松崎秀文, 白谷正治, シランホロ―放電に対する水素希釈の効果, プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会, 2009.12.
1685. 宮田大嗣, 岩下伸也, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, 2次元フォトンカウンティングレーザー散乱法を用いたCVDプラズマ中のナノ粒子輸送の観察, プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会, 2009.12.
1686. 梅津潤, 井上和彦, 野村卓矢, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, カーボン薄膜異方性製膜用Ar+H2+C7H8プラズマのプラズマパラメータ計測, プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会, 2009.12.
1687. 中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, シランホロ―放電のプラズマパラメータに対する磁場印加の効果, プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会, 2009.12.
1688. 野村卓矢, 梅津潤, 井上和彦, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, トルエン水素プラズマを用いたカーボン薄膜堆積に対するイオン・ラジカルフラックスの影響, プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会, 2009.12.
1689. 岩下伸也, 宮田大嗣, 古閑一憲, 白谷正治, ヘリコンプラズマ・カーボン壁相互作用で生成されるダストの分析, プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会, 2009.12.
1690. 岩下伸也, 宮田大嗣, 山田泰之, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHDの主放電と内壁の相互作用で発生するダストのフラックス, 第26回プラズマ・核融合学会年会, 2009.12.
1691. K. Koga, H. Sato, Y. Kawashima, M. Shiratani, High Rate Deposition of Cluster-suppressed Amorphous Silicon Films Deposited Using a Multi-hollow Discharge Plasma CVD, 2009 MRS Fall Meeting, 2009.12.
1692. K. Koga, S. Iwashita, H. Miyata, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka, Plasma Treatment of Indium Compounds to Reduce Their Adverse Health Effects, 2009 MRS Fall Meeting, 2009.12.
1693. 古閑一憲, 川嶋勇毅, 佐藤宙, 白谷正治, マルチホロ―放電を用いたa-Si:H製膜中の基板温度, 19th Academic Symposium of MRS-Japan 2009, 2009.12.
1694. M. Shiratani, Y. Kawashima, K. Koga, Application of Si nanoparticles to third generation photovoltaics, 2nd International Symposium on Innovative Solar Cells, 2009.12.
1695. 川嶋勇毅, 中原賢太, 佐藤宙, 古閑一憲, 白谷正治, 近藤道雄, シリコンナノ粒子を用いた量子ドット増感太陽電池, 19th Academic Symposium of MRS-Japan 2009, 2009.12.
1696. 野村卓矢, 是永有輝, 梅津潤, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVDカーボン薄膜のトレンチ基板上への製膜形状の圧力依存性, 19th Academic Symposium of MRS-Japan 2009, 2009.12.
1697. 宮田大嗣, 岩下伸也, 山田泰之, 松崎秀文, 古閑一憲, 白谷正治, 秋山守人, プラズマCVDによるSiOCHナノ粒子構造の多孔質低誘電率膜, 19th Academic Symposium of MRS-Japan 2009, 2009.12.
1698. 白谷正治, 古閑一憲, プラズマ―バイオ融合科学:プラズマプロセスの観点から(招待講演), 第26回プラズマ・核融合学会年会, 2009.12.
1699. T. Nomura, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition Profile Control of Carbon Films on Patterned Substrates using a Hydrogen-assited Plasma CVD Method, 2009 MRS Fall Meeting, 2009.12.
1700. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Amplitude modulated pulse RF discharges for producing and driving nano-blocks, American Vacuum Society 56th International Symposium, 2009.11.
1701. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, Carbon particle formation due to interaction between graphite, helicon plasmas, American Vacuum Society 56th International Symposium, 2009.11.
1702. T. Nomura, K. Koga, M. Shiratani, M. Sekine, Y. Setsuhara, M. Hori, Deposition profile of carbon films in submicron wide trenches using H-assisted plasma, American Vacuum Society 56th International Symposium, 2009.11.
1703. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Production of crystalline Si nanoparticles for third generation photovoltaics using a multi-hollow discharge plasma CVD method, American Vacuum Society 56th International Symposium, 2009.11.
1704. K. Koga, Y. Kawashima, K. Nakahara, H. Sato, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for third generation solar cells (Invited), 10th Workshop on Fine Particle Plasmas, 2009.11.
1705. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, Manipulation of nanoparticles using plasmas, 10th Workshop on Fine Particle Plasmas, 2009.11.
1706. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, S. Masuzaki, LHD Experimental Group, Flux of dust particles formed due to plasma-wall interaction in LHD, 10th Workshop on Fine Particle Plasmas, 2009.11.
1707. 佐藤宙, 中原賢太, 川嶋勇毅, 古閑一憲, 白谷正治, H2+SiH4マルチホロー放電プラズマの電子密度計測, 応用物理学会九州支部学術講演会, 2009.11.
1708. 是永有輝, 野村卓也, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVD炭素系薄膜のトレンチ基板上への製膜形状のガス流量比依存性, 応用物理学会九州支部学術講演会, 2009.11.
1709. 川嶋勇毅, 中原賢太, 佐藤宙, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDによる結晶シリコンナノ粒子のサイズ制御, 応用物理学会九州支部学術講演会, 2009.11.
1710. 山田泰之, 宮田大嗣, 岩下伸也, 古閑一憲, 白谷正治, 重水素ヘリコンプラズマ・カーボン壁相互作用で生成されるダストのサイズ分布, 応用物理学会九州支部学術講演会, 2009.11.
1711. 岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 秋山守人, 振幅変調パルス放電を用いたナノ粒子含有多孔質低誘電率膜の作製, 第25回 九州・山口プラズマ研究会, 2009.11.
1712. 野村卓也, 是永有輝, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, 炭素系薄膜のトレンチ基板上への製膜形状のイオンエネルギー依存性, 応用物理学会九州支部学術講演会, 2009.11.
1713. 宮田大嗣, 山田泰之, 岩下伸也, 古閑一憲, 白谷正治, 容量結合型高周波放電プラズマ中のナノブロック輸送の観察, 応用物理学会九州支部学術講演会, 2009.11.
1714. M. Shiratani, K. Koga, Ultrahigh quality amorphous silicon film deposition for solar cell employing novel plasma enhanced CVD (Invited), 62nd Gaseous Electronics Conference, 2009.10.
1715. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Nanoblock manipulation in CVD plasmas, 62nd Gaseous Electronics Conference, 2009.10.
1716. M. Shiratani, K. Koga, Towards plasma nano-factories (Invited), 2nd International Conference on Advanced Plasma Technologies (iCAPT-II) with 1st International Plasma Nanoscience Symposium (iPlasmaNano-I), 2009.10.
1717. M. Shiratani, K. Koga, Production of Si nanoparticles in CVD plasmas for Si thin fillm solar cells of the third generation (Invited), Second International Conference on Microelectronics and Plasma Technology (ICMAP2009), 2009.09.
1718. M. Shiratani, K. Koga, Plasma CVD for Si thin fillm solar cells (Invited), International Conference on Plasma Surface Engineering (AEPSE2009), 2009.09.
1719. 古閑一憲, 佐藤 宙, 川嶋勇毅, 白谷正治, マイクロ波共振プローブを用いたH2+SiH4マルチホロー放電の電子密度計測, 第70回応用物理学会学術講演会, 2009.09.
1720. 白谷正治, 古閑一憲, プラズマ中ナノ結晶シリコン成長過程の診断(招待講演), 第70回応用物理学会学術講演会, 2009.09.
1721. 岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 秋山守人, ナノ粒子含有多孔質低誘電率膜物性の粒子サイズ依存性, 第70回応用物理学会学術講演会, 2009.09.
1722. 野村卓矢, 是永有輝, 梅津潤, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマCVD炭素薄膜のトレンチへの製膜形状のガス流量比―入射イオンエネルギーマッピング, 第70回応用物理学会学術講演会, 2009.09.
1723. 佐藤宙, 中原賢太, 川嶋勇毅, 古閑一憲, 白谷正治, 放射温度計を用いたシランマルチホロー放電におけるa-Si:H膜の表面温度測定, 第70回応用物理学会学術講演会, 2009.09.
1724. 白谷正治, 古閑一憲, シリコン太陽電池製造のためのプラズマ技術の現状と展望(特別招待講演), プラズマ科学のフロンティア研究会, 2009.09.
1725. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Dust Particles Formed owing to Interactions between H2 or D2 Helicon Plasmas, Graphite, 2009 International Symposium on Dry Process, 2009.09.
1726. K. Nakahara, Y. Kawashima, H. Sato, K. Koga, M. Shiratani, Measurements of Electron Density in SiH4+H2 Multi-Hollow Discharges using a Frequency Shift Probe, 2009 International Symposium on Dry Process, 2009.09.
1727. H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Measurements of Surface Temperature of a-Si:H Films in Silane Multi-Hollow Discharge with IR Thermometer, 2009 International Symposium on Dry Process, 2009.09.
1728. S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama, Porosity Control of Nano-particle Composite Porous Low Dielectric Films using Pulse RF Discharges with Amplitude Modulation, 2009 International Symposium on Dry Process, 2009.09.
1729. T. Nomura, Y. Korenaga, J. Umetsu, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Pressure, aspect ratio dependence of deposition profile of carbon films on trench substrates deposited by plasma CVD, 2009 International Symposium on Dry Process, 2009.09.
1730. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of Si Nanoparticles for Multiple Exciton Generation Solar Cells using Multi-Hollow Discharge Plasma CVD, 2009 International Symposium on Dry Process, 2009.09.
1731. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of Si nanoparticles for multiple exciton generation solar cells using multi-hollow discharge plasma CVD, 2009 International Symposium on Dry Process, 2009.09.
1732. M. Shiratani, K. Koga, Production of Si nano-particles in CVD plasmas for Si thin film solar cells of the third generation (Invited Talk), 2nd International Conference on Microelectronics, Plasma Technology (ICMAP 2009), 2009.09.
1733. K. Koga, S. Iwashita, H. Miyata, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka, Plasma treatment of CIGS to reduce toxicity, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1734. M. Shiratani, T. Nomura, Y. Korenaga, J. Umetsu, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Aspect ratio dependence of deposition profile of plasma CVD carbon films on trenched substrates, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1735. M. Shiratani, K. Koga, Detection, control of nano-clusters in CVD plasmas for a-Si thin film solar cells, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1736. M. Shiratani, K. Koga, Plasma CVD for Si thin film solar cells (Invited), Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1737. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, M. Akiyama, Deposition of porous low-k films having nano-grained structures using amplitude modulated pulse rf discharges, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1738. 古閑一憲, 白谷正治, プラズマCVDを用いた微細構造への製膜形状制御(招待講演), 西日本放電懇談会, 2009.08.
1739. 古閑一憲, プラズマCVDの基礎 (Invited), TEL University, 2009.07.
1740. M. Shiratani, K. Koga, Thin film silicon solar cells: present, future (Invited), The 9th Korea-Japan Workshop, 2009.07.
1741. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for third generation photovoltaics using multi-hollow discharge plasma CVD, photoluminescence of the nanoparticles, The 9th Korea-Japan Workshop, 2009.07.
1742. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Akiyama, Plasma CVD of Nano-particle Composite Porous SiOCH Films, 19th International Symposium on Plasma Chemistry, 2009.07.
1743. 白谷正治、古閑一憲, クラスタ抑制プラズマCVDを用いた高光安定水素化アモルファスシリコン薄膜の高速堆積, 第1回三菱PVコンファレンス, 2009.06.
1744. 古閑一憲, 岩下伸也, 宮田大嗣, 白谷正治、芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHDとモデル実験装置のダストの比較, 第7回LHDにおけるPWI共同研究・検討会, 2009.06.
1745. H. Sato, W. M. Nakamura, Y. Kawashima, K. Nakahara, H. Matsuzaki, K. Koga,, M. Shiratani, Plasma diagnostics of H2 + SiH4 multi-hollow discharges, 22nd SYMPOSIUM ON PLASMA SCIENCE FOR MATERIALS, 2009.06.
1746. 野村卓矢、梅津潤、是永有輝、古閑一憲、白谷正治、節原裕一、関根誠、堀勝, カーボン薄膜のプラズマCVDにおける微細溝基板上への堆積形状の圧力依存性, 九州表面・真空研究会(兼)第14回九州薄膜表面研究会, 2009.06.
1747. 川嶋勇毅、佐藤宙、古閑一憲、白谷正治、近藤道雄, マルチホロー放電プラズマCVD法を用いて作製した結晶シリコンナノ粒子の第3世代太陽電池への応用, 九州表面・真空研究会(兼)第14回九州薄膜表面研究会, 2009.06.
1748. 宮田大嗣、岩下伸也、松崎秀文、古閑一憲、白谷正治、秋山守人, 振幅変調パルス放電を用いたナノ粒子含有多孔質絶縁膜の作製と物性評価, 九州表面・真空研究会(兼)第14回九州薄膜表面研究会, 2009.06.
1749. 古閑一憲、佐藤宙、川嶋勇毅、中村誠ウィリアム、白谷正治, 高品質光安定a-Si:H薄膜作製用マルチホロー放電における電子密度の空間分布, 電気学会プラズマ研究会, 2009.06.
1750. K. Koga, T. Nomura, M. Shiratani, M. Sekine, Y. Setsuhara, M. Hori, Anisotropic deposition in narrow trenches using hydrogen assisted plasma CVD method, Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”, 2009.05.
1751. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, M. Akiyama, Amplitude modulated pulse RF discharges for synthesizing nano-particle composite porous low-k films, Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”, 2009.05.
1752. Y. Kawashima, H. Sato, H. Matsuzaki, K. Koga, M. Shiratani, Effects of hydrogen dilution on electron density in multi-hollow disicharge for depositing highly stable a-Si:H thin films, Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”, 2009.05.
1753. K. Koga, Deposition profile control of carbon films in trenches using a plasma CVD method (Invited), The 7th EU-Japan Joint Symposium on Plasma Processing, 2009.04.
1754. A. Tanaka, M. Hirata, Y. Kiyohara, M. Nakano, K. Omae, M. Shiratani, K. Koga, Health effects of indium compounds: Outcomes of animal, epidemiological studies,, prevention of indium lung (Invited), 6th International Symposium on Transparent Oxide Thin Films for Electronics, Optics (TOEO-6), 2009.04.
1755. 梅津 潤, 井上和彦, 野村卓矢, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根 誠, 堀  勝, C7H8+H2+Ar混合プラズマにおけるC,CH,C2発光強度のガス流量比依存性, 第56回応用物理学関係連合講演会, 2009.04.
1756. 岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治 , ナノ粒子含有ポーラス低誘電率絶縁膜の耐熱性, 第56回応用物理学関係連合講演会, 2009.04.
1757. 岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 針状電極を用いたCVDプラズマ中のナノブロックの3次元輸送制御
, 第56回応用物理学関係連合講演会, 2009.04.
1758. 三重野哲, 薄葉 州, 白谷正治, 古閑一憲, 無重力~加重力下アーク放電による炭素クラスター合成の差異, 第56回応用物理学関係連合講演会, 2009.04.
1759. 田中雅敏, 中村ウィリアム 誠, 佐藤 宙, 川嶋勇毅, 古閑一憲, 松崎秀文, 白谷正治, ハニカム型有磁場マルチホロー放電を用いた高品質a-Si:Hの2.5nm/s製膜, 第56回応用物理学関係連合講演会, 2009.03.
1760. 川嶋勇毅, 田中雅敏, 佐藤 宙, 中村ウィリアム 誠, 松崎秀文, 古閑一憲, 白谷正治, 近藤道雄, マルチホロー放電プラズマCVD法で作製した結晶シリコンナノ粒子のフォトルミネッセンス, 第56回応用物理学関係連合講演会, 2009.03.
1761. 佐藤 宙, 田中雅敏, 川嶋勇毅, 中村ウィリアム 誠, 松崎秀文, 古閑一憲, 白谷正治 , 水素希釈シランマルチホロー放電における電子密度の空間分布, 第56回応用物理学関係連合講演会, 2009.03.
1762. 古閑一憲, 高品質a-Si堆積用マルチホロー放電プラズマ, 太陽電池製造用新規プラズマ源に関する研究会, 2009.03.
1763. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, M. Akiyama, Plasma manipulation of nano-blocks and its application to ULK film deposition (Invited), International Conference on Plasma Nano Technology & Science (IC-PLANTS2009), 2009.03.
1764. K. Koga, Y. Kawashima, W. M. Nakamura, H. Sato, M. Tanaka, M. Shiratani,, M. Kondo, Conductivity of nc-Si films depsited using multi-hollow discharge plasma CVD method, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1765. K. Koga, W. M. Nakamura, H. Sato, M. Tanaka, H. Miyahara,, M. Shiratani , High Rate Deposition of a-Si:H Depositied using a Low Gas Pressure Multi-hollow Discharge Plasma CVD Method
, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1766. S. Iwashita, H. Miyata, K. Koga, M. Shiratani, N. Ashikawa, A. Sagara, K. Nisimura , Characteristics of dust particles produced due to interaction between hydrogen plasmas, graphite
, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1767. J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile of toluene plasma CVD carbon films in trenches, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1768. Nakamura W. M., Sato H., Koga K., Shiratani M., Effects of magnetic fields on multi-hollow discharges for thin film silicon solar cells, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1769. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Nano-block manipulation using pulse RF discharges with amplitude modulation combined with a needle electrode, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1770. 古閑一憲, プラズマプロセスにおける揺らぎの抑制と増幅 (招待講演), プラズマ・核融合学会「プラズマ-バイオ融合科学への新展開」第2回専門委員会, 2009.01.
1771. W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Matsuzaki, K. Koga, M. Shiratani, High Deposition Rate of Highly Stable a-Si:H Films by Magnetically Enhanced Multi-hollow Discharges, 2nd International Conference on Plasma-Nano Technology & Science, 2009.01.
1772. M. Shiratani, J. Umetsu, T. Nomura, K. Inoue, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of plasma enhanced CVD carbon films in submicron wide trenches, 2nd International Conference on Plasma-Nano Technology & Science, 2009.01.
1773. M. Shiratani, W. M. Nakamura, H. Sato, K. Koga, Design and controlling of plasma nano-processing for the third generation solar cell devices (Invited), 8th International Workshop of Advanced Plasma Processing and Diagnostics, 2009.01.
1774. 古閑一憲, 佐藤宙, 中村ウィリアム誠, 宮原弘臣, 松崎秀文, 白谷正治, シランホロー放電に対する水素希釈の効果, プラズマ・核融合学会 第12回九州・沖縄・山口支部大会, 2008.12.
1775. 宮田大嗣, 宮原弘臣, 岩下伸也, 松崎秀文, 古閑一憲, 白谷正治, 2次元フォトンカウンティングレーザー散乱法を用いたCVDプラズマ中のナノ粒子輸送の観察, プラズマ・核融合学会 第12回九州・沖縄・山口支部大会, 2008.12.
1776. 梅津潤, 井上和彦, 野村卓矢, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, カーボン薄膜異方性製膜用トルエン水素プラズマのプラズマパラメータ計測, プラズマ・核融合学会 第12回九州・沖縄・山口支部大会, 2008.12.
1777. 中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤 宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, シランホロー放電のプラズマパラメータに対する磁場印加の効果, プラズマ・核融合学会 第12回九州・沖縄・山口支部大会, 2008.12.
1778. 野村卓矢, 梅津潤, 井上和彦, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, トルエン水素プラズマを用いたカーボン薄膜堆積に対するイオン・ラジカルフラックスの影響, プラズマ・核融合学会 第12回九州・沖縄・山口支部大会, 2008.12.
1779. 岩下伸也, 宮田大嗣, 古閑一憲, 白谷正治, ヘリコンプラズマ・カーボン壁相互作用で生成されるダストの分析, プラズマ・核融合学会 第12回九州・沖縄・山口支部大会, 2008.12.
1780. 中村ウィリアム誠, 古閑一憲, 佐藤宙, 白谷正治, 薄膜シリコン太陽電池作製のためのマルチホロー放電に対する磁場印加の効果, プラズマ・核融合学会 第12回九州・沖縄・山口支部大会, 2008.12.
1781. 川嶋勇毅、古閑一憲、中村ウィリアム誠、佐藤宙、田中雅敏、白谷正治 , マルチホロー放電プラズマCVD法を用いて作製した、ナノ結晶シリコン薄膜の光電特性, 第2回プラズマ新領域研究会, 2008.12.
1782. 中村 ウィリアム 誠、田中 雅敏、川嶋 勇毅 、佐藤 宙、宮原 弘臣、松崎 秀文、古閑 一憲、白谷 正治 , 有磁場マルチホロー放電プラズマCVD法を用いた高光安定a-Si:H膜の1.2nm/sでの堆積, 第2回プラズマ新領域研究会, 2008.12.
1783. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Control of nano-block transport in asymmetric capacitively coupled discharges
, 9th Workshop on Fine Particle Plasmas, 2008.12.
1784. K. Koga, S. Iwashita, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group, Dust Particles in Size Range from 1 nm to 10 μm Sampled in LHD, 9th Workshop on Fine Particle Plasmas, 2008.12.
1785. M. Shiratani, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, Deposition of Nano-particle Composite Porus Low-k Films Using Pulse RF Discharges with Amplitude Modulation, The IUMRS International Conference in Asia 2008, 2008.12.
1786. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, Formation of Dust Particles due to Interaction between Graphite, Deuterium Helicon Plasmas, The IUMRS International Conference in Asia 2008, 2008.12.
1787. J. Umetsu, K. Inoue, T. Noumura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Dependence of Deposition Rate of Carbon Films in Trenches on Substrate Temperature, Aspect Ratio Using H-assisted Plasma CVD Reactor, The IUMRS International Conference in Asia 2008, 2008.12.
1788. K. Inoue, J. Umetsu, T. Nomura, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Discharge Power Dependence of Ha Intensity in H2+C7H8 Capacitively Coupled Dicharges, The IUMRS International Conference in Asia 2008, 2008.12.
1789. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Evaluation of 2D Spatial Profiles of Volume Fraction of Clusters Incorporated into a-Si:H Films, The IUMRS International Conference in Asia 2008, 2008.12.
1790. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, Effects of Hydrogen Dilution on Deposition of a-Si:H Films using a Multi-hollow Dischage Plasma CVD Method, The IUMRS International Conference in Asia 2008, 2008.12.
1791. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, Pressure Dependence of Deposition Rate of a-Si:H Films Deposited Using a Multi-hollow Discharge, The IUMRS International Conference in Asia 2008, 2008.12.
1792. 佐藤 宙, 田中雅敏, 川嶋勇毅, 中村ウィリアム誠, 宮原浩臣, 古閑一憲, 松崎秀文, 白谷正治, シランマルチホロー放電を用いたa-Si:H製膜における水素希釈の効果
, 2008年度 応用物理学会九州支部学術講演会, 2008.11.
1793. 川嶋 勇毅, 古閑 一憲, 白谷 正治, ナノ結晶シリコン薄膜の導電率の照射光強度依存性, 2008年度 応用物理学会九州支部学術講演会, 2008.11.
1794. 宮田 大嗣, 岩下 伸也, 松崎 秀文, 古閑 一憲, 白谷 正治, パルスプラズマを用いたナノブロックの3次元輸送制御, 2008年度 応用物理学会九州支部学術講演会, 2008.11.
1795. 野村卓矢, 梅津潤, 井上和彦, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, 炭素系薄膜のトレンチ基板上への製膜速度のアスペクト比・基板温度依存性, 2008年度 応用物理学会九州支部学術講演会, 2008.11.
1796. 田中 雅敏, 佐藤 宙, 中村 誠 ウイリアム, 川嶋 勇毅, 古閑 一憲, 宮原 弘臣, 松崎 秀文, 白谷 正治, 低気圧ホロー放電プラズマCVDを用いたa-Si:H薄膜の製膜速度の向上, 2008年度 応用物理学会九州支部学術講演会, 2008.11.
1797. J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori, Deposition profile of plasma CVD carbon films in trenches, 30th International Symposium on Dry Process, 2008.11.
1798. S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama, Plasma CVD of Nano-particle Composite Porous Films of k=1.4-2.9, Young's Modulus above 10 GPa, 30th International Symposium on Dry Process, 2008.11.
1799. M. Shiratani, J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of plasma CVD hard carbon films on substrates with trenches, 61st Annual Gaseous Electronics Conference, 2008.10.
1800. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Analysis of dust particles produced due to interaction between graphite, deuterium helicon plasmas, 61st Annual Gaseous Electronics Conference, 2008.10.
1801. S. Iwashita, K. Koga, M. Shiratani, Nano-particle manipulation using pulse RF discharges with amplitude modulation, 61st Annual Gaseous Electronics Conference, 2008.10.
1802. K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, M. Shiratani, Improvement in deposition rate of a-Si:H films using a low pressure multi-hollow discharge plasma CVD method, ICPP2008 Satellite Meeting on Plasma Physics, Advanced Applications in Aso, 2008.09.
1803. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Formation, transport of nano-particles using pulse RF discharges with amplitude modulation, ICPP2008 Satellite Meeting on Plasma Physics, Advanced Applications in Aso, 2008.09.
1804. S. Iwashita, K. Koga, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, LHD Experimental Group, A Comparison of Dust Particles Produced due to Interaction between Graphite, Plasmas: LHD vs Helicon Discharges, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1805. J. Umetsu, K. Inoue, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Dependence of Deposition Rate on a Ratio of Ion Flux to Radical Flux in Plasma Anisotropic CVD of C Films, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1806. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Dependence of Volume Fraction of Clusters on Deposition Rate of a-Si:H films Dposited using a Multi-hollow Discharge Plasma CVD Method, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1807. H. Miyahara, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Detection of Nano-particles Formed in CVD Plasmas using Two-dimentional Photon-counting Laser-light-scattering Method, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1808. Y. Setsuhara, K. Takenaka, M. Shiratani, K. Koga, K. Takeda, M. Sekine, M. Hori, Development of Combinatorial Plasma-process Analyzer for Next-generation Plasma-nano-fabrications, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1809. T. Mieno, G. Tan, S. Usuba, K. Koga, M. Shiratani, In-situ Measurement of Production Process of Carbon Clusters under Gravity-free Condition by the Mie-scattering Method, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1810. K. Inoue, J. Umetsu, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Main Discharge Power Dependence of Emission Intensities in H2+C7H8 Plasmas, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1811. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Manipulation of Nano-blocks Formed in CVD Plasmas using Pulse RF Discharges with Amplitude Modulation, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1812. W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Optical Emission Spectroscopy of a Magnetically Enhanced Multi-hollow Discharge Plasma for a-Si:H Deposition, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1813. J. Umetsu, K. Inoue, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Substrate Temperature of Deposition Profile of Carbon Films in Trenches Deposited by Plasma CVD, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1814. 古閑一憲, 中村ウィリアム誠, 佐藤 宙, 宮原弘臣, 白谷正治, 水素希釈シラン有磁場マルチホロー放電を用いた高光安定a-Si:H膜の堆積, 2008年秋季第69回応用物理学会学術講演会, 2008.09.
1815. 岩下伸也, 古閑一憲, 白谷正治, 芦川直子, 西村清彦, 相良明男, LHD で捕集したダストの分析 I, 2008年秋季第69回応用物理学会学術講演会, 2008.09.
1816. 梅津潤, 井上和彦, 野村卓矢, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, イオン・ラジカルフラックスによるトレンチ基板へのカーボン薄膜堆積形状制御, 2008年秋季第69回応用物理学会学術講演会, 2008.09.
1817. 佐藤宙, 中村ウィリアン誠, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法でのa-Si:H製膜速度の圧力依存性, 2008年秋季第69回応用物理学会学術講演会, 2008.09.
1818. 中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤 宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, マルチホロー放電中の電子エネルギー分布への磁場印加効果, 2008年秋季第69回応用物理学会学術講演会, 2008.09.
1819. 岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 森貞佳紀, 松木信雄, 池田慎悟, 振幅変調パルスRF 放電を用いたナノ粒子輸送の観察, 2008年秋季第69回応用物理学会学術講演会, 2008.09.
1820. 古閑一憲, プラズマCVDを用いたナノ粒子含有多孔質低誘電率膜の作製, 平成20年度西日本放電懇談会, 2008.08.
1821. K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki,, M. Shiratani , Deposition of highly stable a-Si:H films using hydrogen diluted silane hollow discharge, The 3rd International School of Advanced Plasma Technology, 2008.07.
1822. W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, High deposition rate of highly stable a-Si:H films for the third generation of photovoltaics, The 7th International Workshop on Advanced Plasma Processing, Diagnostics, 2008.07.
1823. M. Shiratani, K. Koga, Nanoparticle suppressed plasma CVD for depositing stable a-Si:H (Invited), 2008 The fifteenth international workshop on active-matrix flatpanel displays and devices, 2008.07.
1824. S. Iwashita, H. Miyata, K. Koga, M. Shiratani, In-situ, ex-situ sampling of dust particles formed due to interaction between graphite, deuterium helicon plasmas, ITER International Summer School 2008, 2008.07.
1825. 中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, Evaluation of 2D spatial profile of volume fraction of clusters in a-Si:H films deposited by using a multi-hollow discharge plasma CVD method, 平成20年度応用物理学会九州支部と薄膜・表面物理分科会共催による研究会 (兼)第13回九州薄膜表面研究会 「新奇な薄膜・表面現象とその応用の最前線」, 2008.06.
1826. 梅津 潤, 井上和彦, 野村卓矢, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, 基板内微細溝へのカーボン薄膜製膜速度の基板温度依存性, 平成20年度応用物理学会九州支部と薄膜・表面物理分科会共催による研究会 (兼)第13回九州薄膜表面研究会 「新奇な薄膜・表面現象とその応用の最前線」, 2008.06.
1827. 岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 森貞佳紀, 松木信雄, 池田慎悟, 振幅変調高周波放電を用いたポーラス低誘電率膜の作製と物性評価, 平成20年度応用物理学会九州支部と薄膜・表面物理分科会共催による研究会 (兼)第13回九州薄膜表面研究会 「新奇な薄膜・表面現象とその応用の最前線」, 2008.06.
1828. M. Shiratani, K. Koga, Deposition profile control of plasma CVD films on nano-patterned substrates (Invited), Interfinish 2008, 2008.06.
1829. J. Umetsu, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, M. Shiratani, Control of deposition profile of hard carbon films on substrates having trenches, Interfinish 2008, 2008.06.
1830. W. M. Nakamura, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Evaluation of two dimensional spatial profiles of volume fraction of nanoparticles incorporated into a-Si:H films, Interfinish 2008, 2008.06.
1831. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Heat treatment with high pressure water vapor of hydrogenated amorphous silicon, Interfinish 2008, 2008.06.
1832. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Rapid deposition of porous ultra low-k films using pulse RF discharges with amplitude modulation, Interfinish 2008, 2008.06.
1833. M. Shiratani, K. Koga, W. M. Nakamura, H. Sato, S. Nunomura, M. Kondo, Si thin films of second and third generation photovoltaics (Invited), International workshop on merging state-of-the-art plasma science into novel technologies, 2008.05.
1834. M. Shiratani, K. Koga, S. Iwashita, S. Nunomura, M. Kondo, Plasma processes for developing nanosystems in the 3rd generation nanotechnology (Invited), 6th EU-Japan Joint Symposium on Plasma Processing, 2008.04.
1835. K. Koga, Nano-structure formation using Plasma (Invited), レノバセミナー, 2008.04.
1836. 岩下伸也, 守田道仁, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, 森貞佳紀, 松木信雄, 池田慎悟, 2次元フォトンカウンティングレーザー散乱法を用いたCVDプラズマ中のナノ粒子の検出, 第55回応用物理学関係連合講演会, 2008.03.
1837. 中村ウィリアム誠, 佐藤宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, SiH4有磁場マルチホロー放電の発光分光, 第55回応用物理学関係連合講演会, 2008.03.
1838. 梅津潤, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, コンビナトリアルプラズマ解析装置の創製:トレンチ基板上へのカーボン薄膜のコンフォーマル堆積, 第55回応用物理学関係連合講演会, 2008.03.
1839. 岩下伸也, 古閑一憲, 白谷正治, 重水素ヘリコンプラズマ・カーボン壁相互作用で生成されるダストのin-situ捕集, 第55回応用物理学関係連合講演会, 2008.03.
1840. M. Shiratani, K. Koga, Plasma engineering for third generation nanotechnology (Invited), International Conference on Plasma Nano Technology & Science 2008, 2008.03.
1841. 白谷正治, 古閑一憲, ミー散乱法によるシリコン微粒子の測定(招待講演), 東北大学通研共同プロジェクト研究会「微粒子プラズマ科学の展開」, 2008.02.
1842. K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, M. Shiratani, Effects of hydrogen dilution on a-Si:H deposition using silane hollow discharges, 第25回プラズマプロセシング研究会, 2008.01.
1843. W. M. Nakamura, H. Sato, J. Umetsu, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Emission Spectroscopy of Magnetically Enhanced Multi-Hollow Discharges, 第25回プラズマプロセシング研究会, 2008.01.
1844. S. Iwashita, H. Matsuzaki, K. Koga, M. Shiratani, Formation of carbon dust particles due to interaction between graphite, pulse deuterium helicon plasmas, 第25回プラズマプロセシング研究会, 2008.01.
1845. J. Umetsu, K. Inoue, K. Takenaka, H. Matsuzaki, K. Koga, M. Shiratani, Ha emission intensity, electron density in anisotropic CVD plasmas, 第25回プラズマプロセシング研究会, 2008.01.
1846. S. Iwashita, Michihito Morita, K. Koga, M. Shiratani, In-situ observation of nano-block transport in CVD plasmas using two-dimensional photon counting laser-light scattering method, 第25回プラズマプロセシング研究会, 2008.01.
1847. T. Mieno, S. Usuba, K. Koga, M. Shiratani, Measurement of Diffusion, Cohesion Process of Carbon Clusters by Mie Scattering, 第25回プラズマプロセシング研究会, 2008.01.
1848. S. Iwashita, Michihito Morita, K. Koga, M. Shiratani, Plasma CVD of nano-particle composite porous low-k films usihg pulse RF discharges with amplitude modulation, 第25回プラズマプロセシング研究会, 2008.01.
1849. M. Shiratani, K. Koga, High-quality amorphous Si formation by sophisticated plasma technology (Invited), 6th International Workshop of Advanced Plasma Processing and Diagnostics, 2008.01.
1850. 白谷正治, 切通聡, 岩下伸也, 古閑一憲, 芦川直子, 西村清彦, 相良明男, LHD実験グループ, LHDにおけるダストのその場サンプリングと分析 (招待講演), 第5回LHDにおけるPWI共同研究・検討会, 2007.06.
1851. K. Koga, M. Shiratani, Control of deposition profile of Cu in trenches using ion-enhanced surface reaction (Invited), The 5th International Symposium on Advanced Plasma Processing, Diagnostics, The 1st International Symposium on Flexible Electronics Technology, 2007.04.
1852. M. Shiratani, W. M. Nakamura, D. Shimokawa, H. Miyahara , K. Koga, Control of nanostrucuture of plasma CVD films and its application to third generation photovoltaics (Invited), Fifth EU-Japan Joint Symposium on Plasma Processing, 2007.03.
1853. M. Shiratani, K. Koga, S. Nunomura, M. Kondo, Nanocrystalline silicon/amorphous silicon composite material (Invited), International Workshop upon Thin Film Silicon Solar Cells, 2007.02.
1854. 三重野哲、薄葉州、古閑一憲、白谷正治, 無対流炭素クラスターの拡散・凝集過程のミー散乱測定, 日本学術会議主催 第24回宇宙利用シンポジウム, 2007.01.
1855. M. Shiratani, S. Kiridoshi, K. Koga, N. Ahikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group, In-situ sampling of dust in main and glow discharges in LHD and its analysis (Invited), Joint US-Japan Workshop on Dynamics of Dust Particles in Fusion Devices and Non-diffusive Plasma Transport and Its Statistics in Edge Plasmas of Fusion, 2007.01.
1856. M. Shiratani, K. Koga, S. Iwashita, W. M. Nakamura, H. Miyahara, S. Nunomura, M. Kondo, Si thin film deposition process for the future solar cells (Invited), 4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials, 2006.12.
1857. M. Shiratani, K. Koga, Y. Watanabe, S. Nunomura, and M. Kondo, Production of size-controlled Si nano-crystallites using SiH4+H2 discharges and its application to optoelectronic films (Invited), International Symposium on EcoTopia Science2005 (ISETS05), 2005.08.
1858. 白谷正治, 古閑一憲, 渡辺征夫, プラズマプロセスによる結晶シリコンナノ粒子の生成 (招待講演), 第6回プラズマナノテクノロジ-研究会, 2003.12.
1859. 白谷正治, 古閑一憲, 渡辺征夫, 革新的プラズマCVDを目指して (招待講演), 第3回インテリジェント・ナノプロセス研究会, 2003.12.
1860. M. Shiratani, K. Takenaka, M. Takeshita, M. Kita, K. Koga, and Y. Watanabe, Anisotropic Cu Deposition using Plasma Chemical Vapor Deposition (Invited), American Vacuum Society 50th International Symposium, 2003.11.
1861. 白谷正治, 古閑一憲, 渡辺征夫, VHFプラズマCVDによる結晶シリコンナノ粒子の生成と膜への取り込み (招待講演), 第30回アモルファスセミナー, 2003.11.
1862. 白谷正治, 竹中弘祐, 古閑一憲, 渡辺征夫, 銅のプラズマCVD におけるサブミクロントレンチへの成膜形状制御, 九州・山口プラズマ研究会, 2003.11.
1863. M. Shiratani, T. Kakeya, K. Koga, and Y. Watanabe, Silicon nano-structure formation using plasma under micro-G and one G conditions (Invited), 56th Annual Gaseous Electronics Conference, 2003.10.
1864. M. Shiratani, K. Takenaka, M. Takeshita, K. Koga, and Y. Watanabe, Copper plasma CVD (Invited), 37th IUVSTA Workshop on Plasma Deposition of Advanced Materials, 2003.09.
1865. 白谷正治, 掛谷知秀, 古閑一憲, 渡辺征夫, プラズマを用いたSiナノ粒子の形成に対する重力の影響, 電気学会九州支部, 2003.09.
1866. M. Shiratani, K. Koga, and Y. Watanabe, Control of deposition profile of Cu for LSI interconnects by plasma chemical vapor deposition (Invited), 16th International Symposium on Plasma Chemistry, 2003.06.
1867. K. Koga, M. Shiratani, Y. Watanabe, Cluster-suppressed plasma CVD method employing VHF discharges, Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas, 2002.12.
1868. 古閑 一憲, 甲斐 幹英, 白谷 正治, 渡辺 征夫, プラズマスパッタリングによるSiナノ構造の自己組織的形成, 電気学会 プラズマ研究会, 2002.12.
1869. M. Shiratani, R. Uehara, K. Koga, Y. Watanabe, Carbon particle formation due to interaction between H2 plasmas, carbon wall, Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas, 2002.12.
1870. M. Shiratani, M. Kai, K. Koga, Y. Watanabe, Silicon nano-particles formed under one-G, micro-gravity plasmas, Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas, 2002.12.
1871. 竹中 弘祐, 大西 将夫, 竹下 学, 木下 年夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉, プラズマCVD法によるLSI用微細銅配線形成, 電気学会 プラズマ研究会, 2002.12.
1872. 上原 龍児, 古閑 一憲, 白谷 正治, 渡辺 征夫, 小森 彰夫, 炭素壁と水素プラズマ相互作用に起因する微粒子形成過程解明のための研究, プラズマ・核融合学会 九州・沖縄・山口支部 第6回支部大会, 2002.12.
1873. K. Koga, K. Imabeppu, M. Kai, A. Harikai, M. Shiratani, Y. Watanabe, Correlation between cluster amount, qualities of a-Si:H films for SiH4 plasma CVD, American Vaccum Society 49th International Symposium, 2002.11.
1874. 古閑 一憲, 白谷 正治, 古閑 一憲, 渡辺 征夫, クラスター抑制プラズマCVD法による高品質a-Si:H堆積, 第18回九州・山口プラズマ研究会, 2002.11.
1875. 古閑 一憲, 今別府 謙吾, 白谷 正治, 渡辺 征夫, クラスタ抑制プラズマCVD法を用いて堆積したa-Si:H膜の膜質に及ぼす放電周波数の影響, 平成14年度応用物理学会九州支部講演会, 2002.11.
1876. M. Shiratani, K. Takenaka, M. Onishi, K. Koga, Y. Watanabe, T. Shingen, Conformal, anisotorpic deposition of Cu films using H-assisted plasma CVD, American Vaccum Society 49th International Symposium, 2002.11.
1877. 白谷 正治, 古閑 一憲, 渡辺 征夫, ナノクラスタ制御プラズマCVDと高品質, 光安定a-Si:H太陽電池への応用, 第29回アモルファスセミナー, 2002.11.
1878. 白谷 正治, 古閑 一憲, 渡辺 征夫, 水素原子アシストプラズマCVD法によるLSI用銅配線形成, 第18回九州・山口プラズマ研究会, 2002.11.
1879. 甲斐 幹英, 掛谷 知秀, 古閑 一憲, 白谷 正治, 渡辺 征夫, a-Si:H薄膜のArプラズマスパッタリングによる新構造Si微粒子の形成, 平成14年度応用物理学会九州支部講演会, 2002.11.
1880. 竹下 学, 竹中 弘祐, 大西 将夫, 木下 年夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉, Cu(EDMDD)2を用いた水素原子アシストプラズマCVDでの高速成膜, 平成14年度応用物理学会九州支部講演会, 2002.11.
1881. 竹中 弘祐, 大西 将夫, 竹下 学, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉, Cu薄膜形成プラズマCVDにおける核発生と島成長, 平成14年度応用物理学会九州支部講演会, 2002.11.
1882. 白谷 正治, 甲斐 幹英, 古閑 一憲, 渡辺 征夫, 微重力プラズマ中のナノ粒子形成, 第18回日本マイクログラビティ応用学会学術講演会, 2002.10.
1883. K. Takenaka, M. Onishi, M. Takenaka, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe, Anisotropic deposition of copper by plasma CVD method, 24th International Symposium on Dry Process, 2002.10.
1884. 古閑 一憲, 甲斐 幹英, 白谷 正治, 渡辺 征夫, シラン高周波放電を用いたナノ結晶シリコンクラスタの生成, 第62回応用物理学学術講演会, 2002.09.
1885. M. Shiratani, K. Takenaka, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, Y. Watanabe, T. Shingen, Conformal deposition of ultra thin, smooth Cu films in trenches using Cu(EDMDD)2 by H-assisted plasma CVD, 2nd ECS International Semiconductor Technology Conference, 2002.09.
1886. 白谷 正治, 古閑 一憲, 渡辺 征夫, プラズマを用いたSi新ナノ構造形成, 第62回応用物理学学術講演会, 2002.09.
1887. 竹中 弘祐, 竹下 学, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元俊哉, Cu(EDMDD)2を用いたH原子アシストプラズマCVD法によるCu薄膜形成:主放電電力依存性, 第62回応用物理学学術講演会, 2002.09.
1888. 針貝 篤史, 古閑 一憲, 白谷 正治, 渡辺 征夫, シランプラズマ中のSiクラスタ成長過程に対する水素希釈の影響, 第62回応用物理学学術講演会, 2002.09.
1889. 甲斐 幹英, 古閑 一憲, 白谷 正治, 渡辺 征夫, プラズマスパッタリングにより形成した新構造シリコンナノ微粒子, 第62回応用物理学学術講演会, 2002.09.
1890. 竹中 弘祐, 大西 将夫, 竹下 学, 古閑 一憲, 白谷 正治, 渡辺 征夫, 銅のプラズマ異方性CVDの成膜機構の検討, 第62回応用物理学学術講演会, 2002.09.
1891. 古閑 一憲, 白谷 正治, 渡辺 征夫, アモルファスシリコン作製になぜ放電周波数を高周波化するか?, 西日本放電懇談会, 2002.08.
1892. K. Koga, R. Ueharaa, M. Shiratani, Y. Watanabe, A. Komori, Carbon nano-particles due to interaction between H2 plasmas, carbon wall, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1893. M. Shiratani, M. Kai, K. Imabeppu, K. Koga, Y. Watanabe, Correlation between Si cluster amount in silane HF discharges, quality of a-Si:H films, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1894. K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, Anisotropic deposition of Cu with H-assisted plasma CVD, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1895. K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen, Conformal deposition of pure Cu films in trenches by H-assisted plasma CVD using Cu(EDMDD)2, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1896. M. Shiratani, K. Koga, Y. Watanabe, Cluster-supressed plasma CVD for deposition of high quality a-Si:H films (Invited), European Materials Research Society 2002 Spring Meeting, 2002.06.
1897. K. Takenaka, M. Shiratani, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, Y. Watanabe, Anisotropic deposition of copper by H-assisted plasma chemical vapor deposition, European Materials Research Society 2002 Spring Meeting, 2002.06.
1898. K. Koga, K. Imabeppu, M. Kai, A. Harikai, M. Shiratani, Y. Watanabe, Suppression methods of cluster growth in silane discharges, their application to deposition of super high quality a-Si:H films, International Workshop on Information, Electrical Engineering (IWIE2002), 2002.05.
1899. K. Takenaka, M. Onishi, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen, Deposition of Cu films in trenches for LSI interconnects by H-assisted plasma CVD method, International Workshop on Information, Electrical Engineering (IWIE2002), 2002.05.
1900. 古閑 一憲, 甲斐 幹英, 今別府 謙吾, 白谷 正治, 渡辺 征夫, クラスタ抑制PECVD法により作製したa-Si:H薄膜の膜質とクラスタ量の相関, 第49回応用物理学関係連合講演会, 2002.03.
1901. 古閑 一憲, 針貝 篤史, 白谷 正治, 渡辺 征夫, シランプラズマ中のクラスタ量と電子エネルギー分布への放電周波数の影響, 第49回応用物理学関係連合講演会, 2002.03.
1902. 古閑 一憲, 上原 龍児, 白谷 正治, 渡辺 征夫, 小森 彰夫, 水素プラズマとカーボン壁の相互作用による微粒子形成, 第49回応用物理学関係連合講演会, 2002.03.
1903. 白谷 正治, 古閑 一憲, 渡辺 征夫, 低圧シランプラズマ中の微粒子成長機構, 北陸先端科学技術大学院大学材料科学研究科研究科フォーラム, 2002.03.
1904. 竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, Cu(EDMDD)2を用いたH原子アシストプラズマCVDによるトレンチ内への銅薄膜コンフォーマル堆積, 第49回応用物理学関係連合講演会, 2002.03.
1905. 竹中 弘祐, 大西 将夫, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫, H原子アシストプラズマCVD法による銅の異方性成膜, 第49回応用物理学関係連合講演会, 2002.03.
1906. K. Koga, M. Shiratani, Y. Watanabe, In situ mesurement of size, density of particles in sub-nm size range, Seminar of Particle Technology Division of Korean Chemical Engineering, 2002.02.
1907. K. Koga, M. Shiratani, Y. Watanabe, In situ mesurement of size, density of particles in subnm size range (Invited), The Seminar of Particle Technology Division of Korean Chemical Engineering, 2002.02.
1908. M. Shiratani, K. Koga, Y. Watanabe, Deposition of high quality Si films by suppressing cluster growth in SiH4 high-frequency discharges, Seminar of Particle Technology Division of Korean Chemical Engineering, 2002.02.
1909. 渡辺 征夫, 古閑 一憲, 白谷 正治, クラスタ抑制プラズマCVD法によるSi薄膜の高品質化, シリコンテクノロジー第37回研究会, 2002.01.
1910. K. Koga, M. Shiratani, Y. Watanabe, Preliminary experiments on dust particles formation due to interaction between plasma, graphite wall, Fine Particle Plasmas: Basis, Applications - Second Workshop on Fine Particle Plasmas, 2001.12.
1911. M. Shiratani, M. Kai, K. Imabeppu, K. Koga, Y. Watanabe, Cluster formation model in SiH4 plasmas, its application to deposition of high quality a-Si:H films, Fine Particle Plasmas: Basis, Applications - Second Workshop on Fine Particle Plasmas, 2001.12.
1912. 竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉, Cu(EDDMD)2を用いたプラズマCVD法における銅薄膜の高純度化と発生核の高密度化, 平成13年度応用物理学会九州支部講演会, 2001.12.
1913. 大西 将夫, 竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫, H原子アシストプラズマCVD法による銅の異方性成膜, 平成13年度応用物理学会九州支部講演会, 2001.12.
1914. 今別府 謙吾, 甲斐 幹英, 古閑 一憲, 白谷 正治, 渡辺 征夫, シランCVDプラズマ中のクラスタ量とa-Si:H薄膜の膜質の関係, 平成13年度応用物理学会九州支部講演会, 2001.12.
1915. 針貝 篤史, 古閑 一憲, 白谷 正治, 渡辺 征夫, シランプラズマ中に発生するSiクラスタの核発生・初期成長に対する放電周波数の効果, 平成13年度応用物理学会九州支部講演会, 2001.12.
1916. 徳安 達郎, 上原 龍児, 古閑 一憲, 白谷 正治, 渡辺 征夫, プラズマ・カーボン壁相互作用による微粒子形成過程研究用装置の開発, 平成13年度応用物理学会九州支部講演会, 2001.12.
1917. 古閑 一憲, 白谷 正治, 古閑 一憲, 渡辺 征夫, LSI内微細銅配線形用プラズマCVD, 第17回九州・山口プラズマ研究会, 2001.11.
1918. 古閑 一憲, 徳安 達郎, 上原 龍児, 白谷 正治, 渡辺 征夫, ダイバータ壁とプラズマの相互作用による微粒子形成機構研究用装置の試作, 第18回プラズマ・核融合学会年会, 2001.11.
1919. 白谷 正治, 古閑 一憲, 渡辺 征夫, シランプラズマ中のクラスタとシリコン系薄膜太陽電池, 第17回九州・山口プラズマ研究会, 2001.11.
1920. K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, Deposition of pure copper thin films by H-assisted plasma CVD using a new Cu complex Cu(EDMDD)2, International Symposium on Dry Process, 2001.11.
1921. Y. Watanabe, A. Hariaki, K. Koga, M. Shiratani, Electron-, ion-densities in silane high frequency discharges, 54th Annual Gaseous Electronics Conference, 2001.10.
1922. 竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, Cu(EDMDD)2を用いた銅プラズマCVD:膜質の全圧と基板温度への依存性, 平成13年度電気関係学会九州支部連合大会, 2001.10.
1923. 針貝 篤史, 古閑 一憲, 白谷 正治, 渡辺 征夫, シラン高周波放電中のクラスタ成長に対する水素希釈と放電周波数の効果, 平成13年度電気関係学会九州支部連合大会, 2001.10.
1924. 甲斐 幹英, 今別府 謙吾, 古閑 一憲, 白谷 正治, 渡辺 征夫, 超高品質a-Si:H薄膜作製用プラズマCVD装置のクラスタ計測, 平成13年度電気関係学会九州支部連合大会, 2001.10.
1925. 大西 将夫, 金 洪杰, 竹中 弘祐, 古閑 一憲, 白谷 正治, 渡辺 征夫, 銅プラズマCVDにおけるトレンチ内製膜速度の異方性, 平成13年度電気関係学会九州支部連合大会, 2001.10.
1926. 古閑 一憲, 針貝 篤史, 白谷 正治, 渡辺 征夫, 渡邉 剛, シラン高周波放電中のクラスタ成長に関する水素希釈と励起周波数の効果, 第62回応用物理学学術講演会, 2001.09.
1927. 白谷 正治, 竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 渡辺 征夫, CuプラズマCVDと次世代配線への応用, 電気学会 電子・情報・システム部門大会, 2001.09.
1928. 白谷 正治, 金 洪杰, 大西 将夫, 竹中 弘祐, 古閑 一憲, 渡辺 征夫, H原子源付プラズマCVD装置で堆積したCu薄膜中の不純物濃度分布, 第62回応用物理学学術講演会, 2001.09.
1929. 竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 渡邉 剛, Cu(EDMDD)2を用いたH原子源付プラズマCVD装置による高純度銅薄膜形成, 第62回応用物理学学術講演会, 2001.09.
1930. 渡辺 征夫, 白谷 正治, 古閑 一憲, クラスタ抑制プラズマCVD法による超高品質a-Si:H薄膜形成(招待講演), 第62回応用物理学学術講演会 非晶質:分科内総合講演「アモルファスシリコンの光劣化防止策」, 2001.09.
1931. K. Koga, T. Sonoda, N. Shikatani, M. Shiratani, Y. Watanabe, Deposition of super high quality a-Si:H thin films using cluster-suppressed plasma CVD reactor, International Conference on Phenomena in Ionized Gases, 2001.07.
1932. M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe, Behavior of a particle injected in ion sheath of electropositive, electronegative gas discharges, International Conference on Phenomena in Ionized Gases, 2001.07.
1933. M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe, Development of H-assisted plasma CVD reactor for Cu interconnects, International Conference on Phenomena in Ionized Gases, 2001.07.
1934. Y. Watanabe, M. Shiratani, K. Koga, Clustering phenomena in low-pressure reactive plasmas: base, applications (invited), 15th International Symposium on Plasma Chemistry, 2001.07.
1935. Y. Watanabe, M. Shiratani, K. Koga, Nucleation, subsequent growth of clusters in reactive plasmas (invited), International Conference on Phenomena in Ionized Gases, 2001.07.
1936. 渡辺 征夫, 白谷 正治, 古閑 一憲, アモルファスシリコン太陽電池の高速・高品質製造技術の開発 (招待講演), 新エネルギーシンポジウム, 2001.06.
1937. Y. Watanabe, M. Shiratani, K. Koga, Electron, ion densities in SiH4 HF discharges, 9th Workshop on the Physics of Dusty Plasmas, 2001.05.
1938. M. Shiratani, K. Koga, Y. Watanabe, Cluster-less plasma CVD reactor, its application to a-Si:H film deposition, 2001 MRS Spring Meeting, 2001.04.
1939. M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe, H-assisted plasma CVD using Cu(hfac)2, Cu(EDMDD)2, 2001 MRS Spring Meeting, 2001.04.
1940. 渡辺 征夫, 白谷 正治, 古閑 一憲, 太陽電池用超高品質アモルファスSi薄膜の超高速作製のためのクラスタ制御プラズマCVD法の開発 (招待講演), 第13回太陽光発電連絡会, 2001.04.
1941. 古閑 一憲, 園田 剛士, 鹿谷 昇, 白谷 正治, 渡辺 征夫, クラスタ抑制プラズマCVD装置による高品質a-Si:H作製, 第48回応用物理学関係連合講演会, 2001.03.
1942. 古閑 一憲, 田中 健一, 白谷 正治, 渡辺 征夫, 水素希釈シラン高周波放電中の電子密度及びイオン密度, 第48回応用物理学関係連合講演会, 2001.03.
1943. 白谷 正治, 金 洪杰, 竹中 弘祐, 古閑 一憲, 渡辺 征夫, H原子源付プラズマCVD装置による高品質Cu極薄膜の堆積, 第48回応用物理学関係連合講演会, 2001.03.
1944. 白谷 正治, 古閑 一憲, 豊澤 聡大, 渡辺 征夫, イオンシースに注入した微粒子の軌跡, 第48回応用物理学関係連合講演会, 2001.03.
1945. 竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫, Cu(EDMDD)2を用いたプラズマCVD法による銅薄膜形成, 第48回応用物理学関係連合講演会, 2001.03.
1946. K. Koga, K. Tanaka, M. Shiratani, Y. Watanabe, Effects of H2 dilution, excitation frequency on initial growth of clusters in silane plasmas, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1947. M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe, Behavior of a particle injected in ion sheath, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1948. M. Shiratani, T. Sonoda, N. Shikatani, K. Koga, Y. Watanabe, Development of cluster-suppressed plasma CVD reactor for high quality a-Si:H film deposition, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1949. M. Shiratani, N. Shiraishi, K. Koga, Y. Watanabe, Measurements of surface reaction probability of SiH3, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1950. M. Shiratani, K. Koga, Y. Watanabe, Plasma CVD method for Cu interconnects in ULSI (invited), Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1951. 古閑 一憲, 徳安 達郎, 白谷 正治, 渡辺 征夫, シランプラズマ中に発生する微粒子の表面付着確率, 平成12年度応用物理学会九州支部講演会, 2000.12.
1952. 古閑 一憲, 田中 健一, 徳安 達郎, 白谷 正治, 渡辺 征夫, シランプラズマ中のSinHxクラスタ核のその場計測と成長制御, プラズマ・核融合学会九州地区第4回研究発表講演会, 2000.12.
1953. 白谷 正治, 園田 剛士, 古閑 一憲, 渡辺 征夫, 鹿谷 昇, 高品質a-Si:H作製のためのクラスタ抑制プラズマCVD装置の開発, 平成12年度応用物理学会九州支部講演会, 2000.12.
1954. 竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫, Cu(EDDMD)2を用いたプラズマCVD法による銅薄膜堆積, 平成12年度応用物理学会九州支部講演会, 2000.12.
1955. 田中 健一, 古閑 一憲, 白谷 正治, 渡辺 征夫, 水素希釈シラン高周波放電中の電子密度のシラン分圧依存性, 平成12年度応用物理学会九州支部講演会, 2000.12.
1956. 白谷 正治, 古閑 一憲, 渡辺 征夫, LSI内微細銅配線形成のためのプラズマCVD法の開発, 第16回九州・山口プラズマ研究会, 2000.11.
1957. 渡辺 征夫, 古閑 一憲, 白谷 正治, シランプラズマ中のクラスタ計測と高品質a-Si:H薄膜の高速作製法の開発, 第16回九州・山口プラズマ研究会, 2000.11.
1958. K. Koga, K. Tanaka, T. Tokuyasu, M. Shiratani, Y. Watanabe, Initial growth of clusters in silane rf discharges, 53rd Annual Gaseous Electronics Conference, 2000.10.
1959. M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, Y. Watanabe, H assisted control of quality, conformality in Cu film deposition using plasma CVD method, Advanced Metallization Conference 2000, 2000.10.
1960. Y. Watanabe, K. Koga, H. J. Jin, Y. Nakatake, T. Kinoshita, M. Shiratani, Effects of H irradiation on properties of Cu films deposited by plasma CVD, 53rd Annual Gaseous Electronics Conference, 2000.10.
1961. 古閑 一憲, 田中 健一, 白谷 正治, 渡辺 征夫, シランプラズマ中のクラスタ成長に対する水素希釈・放電周波数の効果, 第61回応用物理学学術講演会, 2000.09.
1962. 古閑 一憲, 徳安 達郎, 白谷 正治, 渡辺 征夫, シラン高周波放電中クラスタの表面付着確率, 第61回応用物理学学術講演会, 2000.09.
1963. 白谷 正治, 園田 剛士, 鹿谷 昇, 古閑 一憲, 渡辺 征夫, D2+SiH4高周波放電で作製した微粒子とa-Si:H膜の組成, 第61回応用物理学学術講演会, 2000.09.
1964. 白谷 正治, 古閑 一憲, 渡辺 征夫, 銅の高アスペクト比パターン埋め込みのためのラジカル表面反応制御(招待講演), 第61回応用物理学学術講演会シンポジウム「Feature Profile Evolutionのためのプラズマ物理化学」, 2000.09.
1965. 園田 剛士, 鹿谷 昇, 古閑 一憲, 白谷 正治, 渡辺 征夫, D2+SiH4高周波放電により作製した微粒子と水素化アモルファスシリコン膜の組成, 平成12年度電気関係学会九州支部連合大会, 2000.09.
1966. 田中 健一, 古閑 一憲, 白谷 正治, 渡辺 征夫, シランプラズマ中のクラスタ成長に及ぼす励起周波数・水素希釈の影響, 平成12年度電気関係学会九州支部連合大会, 2000.09.
1967. 白石 信仁, 古閑 一憲, 白谷 正治, 渡辺 征夫, トレンチへの段差被覆性に与えるSiH4トライオードrf放電の接地電極サイズの影響, 第61回応用物理学学術講演会, 2000.09.
1968. 金 洪杰, 竹中 弘祐, 古閑 一憲, 白谷 正治, 渡辺 征夫, プラズマCVD法により微細トレンチに形成したCu薄膜の段差被覆性, 第61回応用物理学学術講演会, 2000.09.
1969. 豊澤 聡大, 古閑 一憲, 白谷 正治, 渡辺 征夫, プラズマイオンシース中の微粒子挙動の観察, 平成12年度電気関係学会九州支部連合大会, 2000.09.
1970. 竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫, 新銅錯体材料Cu(EDMDD) 2を用いたプラズマCVDによる銅薄膜作製, 平成12年度電気関係学会九州支部連合大会, 2000.09.
1971. Y. Watanabe, M. Shiratani, K. Koga, Formation kinetics, control of dust particles in capacitively-coupled reactive plasmas (invited), International Topical Conference on Plasma Physics: Colloidal Plasma Science, 2000.05.
1972. Y. Watanabe, M. Shiratani, K. Koga, Recent progress in study on cluster growth kinetics in silane rf plasmas (invited), 4th European Workshop on Dusty, Colloidal Plasma, 2000.05.
1973. M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe, Methods of suppressing cluster growth in silane rf discharges, 2000 MRS Spring Meeting, 2000.04.
1974. M. Shiratani, H. J. Jin, Y. Nakatake, K. Koga, Y. Watanabe, Thin smooth Cu films deposited in deep submicron trench by plasma CVD reactor with H atom source, 2000 MRS Spring Meeting, 2000.04.
1975. Y. Watanabe, M. Shiratani, K. Koga, Initial growth kinetics of clusters in processing plasmas, 8th Workshop on the Physics of Dusty Plasma, 2000.04.
1976. 古閑 一憲, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫, シラン高周波放電中のクラスタのサイズ・密度測定, 第47回応用物理学関係連合講演会, 2000.03.
1977. 白谷 正治, 前田 真一, 古閑 一憲, 渡辺 征夫, シラン高周波放電中ナノ微粒子の成長過程:基板の効果, 第47回応用物理学関係連合講演会, 2000.03.
1978. 白石 信仁, 古閑 一憲, 白谷 正治, 渡辺 征夫, パルス及び定常高周波トライオードSiH4 放電中のラジカルの表面反応確率, 第47回応用物理学関係連合講演会, 2000.03.
1979. 金 洪杰, 中武 靖裕, 古閑 一憲, 白谷 正治, 渡辺 征夫, プラズマCVD法により形成したCu薄膜の結晶サイズに及ぼすH原子照射の効果, 第47回応用物理学関係連合講演会, 2000.03.
1980. 古閑 一憲, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫, プラズマ中のクラスタの新計測法, 第17回プラズマプロセシング研究会, 2000.01.
1981. M. Shiratani, H. J. Jin, Y. Nakatake, K. Koga, Y. Watanabe, Control of surface reactions for conformal deposition of Cu in fine trench structure (invited), 'International Workshop on Basic Aspects of Non-equilibrium Plasmas Interacting with Surfaces, 2000.01.
1982. M. Shiratani, N. Shiraishi, K. Koga, Y. Watanabe, Surface reaction probability of radicals in CW, pulsed RF triode SiH4 discharges, International Workshop on Basic Aspects of Non-equilibrium Plasmas Interacting with Surfaces, 2000.01.
1983. 白谷 正治, 古閑 一憲, 前田 真一, 渡辺 征夫, シラン高周波放電中ナノ微粒子成長に与える基板の影響, 第17回プラズマプロセシング研究会, 2000.01.
1984. 古閑 一憲, 前田 真一, 白谷 正治, 渡辺 征夫, シランプラズマ中の微粒子成長の基板材料依存性, 平成11年度応用物理学会九州支部講演会, 1999.12.
1985. 古閑 一憲, 前田 真一, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫, 反応性プラズマにおける微粒子発生, プラズマ・核融合学会九州地区第3回研究発表講演会, 1999.12.
1986. 園田 剛士, 中村 幸作, 古閑 一憲, 白谷 正治, 渡辺 征夫, H2希釈SiH4高周波放電中のH密度測定, 平成11年度応用物理学会九州支部講演会, 1999.12.
1987. 前田 真一, 古閑 一憲, 白谷 正治, 渡辺 征夫, SiH4高周波放電によるa-Si:H成膜と微粒子量との関係, 平成11年度応用物理学会九州支部講演会, 1999.12.
1988. 金 洪杰, 中武 靖裕, 古閑 一憲, 白谷 正治, 渡辺 征夫, プラズマCVD法によるトレンチへの銅への埋め込み特性, 平成11年度応用物理学会九州支部講演会, 1999.12.
1989. 波多江 哲, 豊澤 聡大, 古閑 一憲, 福澤 剛, 白谷 正治, 渡辺 征夫, 直流放電イオンシース中の微粒子挙動の観察, 平成11年度応用物理学会九州支部講演会, 1999.12.
1990. 渡辺 征夫, 古閑 一憲, 白谷 正治, シランプラズマ中のクラスターとa-Si:H成膜, 第15回九州・山口プラズマ研究会, 1999.11.
1991. M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe, A-Si:H Film Deposition Using Plasma CVD with Suppression of Cluster-Size Particles, 1999 American Vacuum Society International Symposium, 1999.10.
1992. Y. Watanabe, M. Shiratani, K. Koga, A. Hatae, A. Toyozawa, Behabior of a Particle in Plasma-Wall Sheath Region, 52nd Annual Gaseous Electronics Conference, 1999.10.
1993. H. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Kinoshita, Y. Watanabe, Complete Filling of High-Purity Copper in Sub-quater-Micron Trench Structure Using Plasma CVD Reactor with H Atom Source, 1999 American Vacuum Society International Symposium, 1999.10.
1994. Y. Watanabe, M. Shiratani, K. Koga, S. Maeda, Y. Matsuoka, K. Tanaka, Growth Suppression of Cluster-Size Particles in Silane RF Discharges, 52nd Annual Gaseous Electronics Conference, 1999.10.
1995. 中武 靖裕, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫, H原子原付プラズマCVD装置を用いた微細トレンチへの銅の埋め込み, 平成11年度電気関係学会九州支部連合大会, 1999.10.
1996. 白石 信仁, 古閑 一憲, 白谷 正治, 渡辺 征夫, SiH4高周波トライオード放電中のSiH3密度空間分布とSiH3の表面反応確率, 平成11年度電気関係学会九州支部連合大会, 1999.10.
1997. 豊澤 聡大, 波多江 哲, 古閑 一憲, 白谷 正治, 渡辺 征夫, イオンシース中の微粒子挙動の観察, 平成11年度電気関係学会九州支部連合大会, 1999.10.
1998. 田中 健一, 松岡 泰弘, 古閑 一憲, 白谷 正治, 渡辺 征夫, 水素希釈および電極加熱によるシラン高周波放電中微小微粒子の抑制効果, 平成11年度電気関係学会九州支部連合大会, 1999.10.
1999. 中村 幸作, 園田 剛士, 古閑 一憲, 白谷 正治, 渡辺 征夫, 発光分光法によるH2+SiH4高周波放電中のH密度の測定, 平成11年度電気関係学会九州支部連合大会, 1999.10.
2000. 古閑 一憲, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫, 水素希釈によるシラン高周波放電中微小微粒子の抑制効果, 第60回応用物理学学術講演会, 1999.09.
2001. 白谷 正治, 中村 幸作, 園田 剛士, 古閑 一憲, 渡辺 征夫, H2+SiH4高周波放電中のH密度, 第60回応用物理学学術講演会, 1999.09.
2002. 白谷 正治, 前田 真一, 古閑 一憲, 渡辺 征夫, シラン高周波放電中微粒子成長抑制条件下でのa-Si:H膜堆積, 第60回応用物理学学術講演会, 1999.09.
2003. 金 洪杰, 中武 靖裕, 古閑 一憲, 白谷 正治, 渡辺 征夫, プラズマCVD法による高品質銅の微細トレンチ埋め込み, 第60回応用物理学学術講演会, 1999.09.
2004. 古閑 一憲, シラン高周波放電における微小微粒子の抑制, 西日本放電懇談会, 1999.08.
2005. Y. Watanabe, M. Shiratani, T. Fukuzawa, K. Koga, Growth Processes of Particles up to Nanometer in High-Frequency SiH4, GeH4 RF Plasmas (invited), 24th International Conference on Phenomena in Ionized Gases, 1999.07.
2006. M. Shiratani, K. Koga, Y. Watanabe, Recent Advance in Understanding Formation of Particles in SiH4 RF Discharges (invited), 12th Symposium on Plasma Science, Materials, 1999.06.
2007. H. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Fukuzawa, T. Kinoshita, Y. Watanabe, M. Toyofuku, Void Free Filling of High-Purity Copper in Subquater-Micron Trench Structure Using Plasma Reactor with H Atom Source, 12th Symposium on Plasma Science, Materials, 1999.06.
2008. K. Koga, H. Naitou, Y. Kawai, Characteristics of Asymmetric Ion Sheath in a Negative Ion Plasma, 2nd International Conference on the Physics of Dusty Plasmas, 1999.05.
2009. 古閑 一憲, 内藤 裕志, 河合 良信, イオンシース不安定性と非対称イオンシース構造, プラズマ・核融合学会九州地区第2回研究発表講演会, 1999.02.

九大関連コンテンツ

pure2017年10月2日から、「九州大学研究者情報」を補完するデータベースとして、Elsevier社の「Pure」による研究業績の公開を開始しました。