九州大学 研究者情報
発表一覧
板垣 奈穂(いたがき なほ) データ更新日:2024.04.22

教授 /  システム情報科学研究院 I&Eビジョナリー特別部門


学会発表等
1. 鎌滝晋礼, 板垣奈穂, 山下大輔, 奥村賢直, 山下尚人, 古閑一憲, 白谷正治, プラズマスパッタによる高移動度アモルファスITO成膜におけるハイブリッド機械学習モデル, 第71回応用物理学会 春季学術講演会, 2024.03.
2. 小野晋次郎, 恵利眞人, 奥村賢直, 山下尚人, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, CxHy+ArプラズマCVDを用いた水素化アモルファスカーボン膜の堆積特性に対するガス圧力の効果, 第71回応用物理学会 春季学術講演会, 2024.03.
3. 中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲, 大気圧プラズマを用いた窒素固定における電極温度の効果, 第71回応用物理学会 春季学術講演会, 2024.03.
4. 奥村賢直, 古閑一憲, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 南原英司, プラズマ照射によるシロイヌナズナ種皮の物質透過性変化, 第71回応用物理学会 春季学術講演会, 2024.03.
5. 史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, イネ種皮のプラズマ起因化学種透過性の二次元分布解析, 第71回応用物理学会 春季学術講演会, 2024.03.
6. A. M. Nurut, S. Obinata, T. Okumura, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, N. Yamashita, Large-Scale Fabrication of Tm3 Fe5 O12 Film with Perpendicular Magnetic Anisotropy Using Magnetron Sputtering, ISPlasma, 2024.03.
7. Y. Wada, S. Zhiyuan, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of low resistive 30-nm-thick ZnO:Al films on seed layers grown via solid phase crystallization of fractionally crystallized ZnON films, ISPlasma, 2024.03.
8. T.Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, K. Koga, Nitrogen Fixation to Leaf Mold Using Air Plasma and Evaluation of Phenotype Response of Sugarcane to Nitrogen-Fixed Fertilizer, ISPlasma, 2024.03.
9. T. Okumura, H. Shi, P.Attri, D. Yamasita, K. Kamataki, N.Yamasita, N. Itagaki, K. Koga, M. Shiratan, Molecular structure analysis of DBD plasma irradiated DMPO by LC-MS, ISPlasma, 2024.03.
10. K. Koga, S. Ono, M. Eri, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani, Deposition of carbon nanoparticles fabricated by multi-hollow discharge plasma CVD on DC biased substrates, ISPlasma, 2024.03.
11. 鎌滝晋礼,板垣 奈穂,山下 大輔, 奥村 賢直,山下 尚人, 古閑 一憲, 白谷 正治, プラズマスパッタによる高品質アモルファスITO薄膜形成のためのハイブリッド機械学習モデル, SPP-41, 2024.01.
12. K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani, Predictions for High Quality Amorphous ITO(In2O3:Sn) Film Formation via Hybrid Machine Learning Model, ICTS, 2024.01.
13. S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Carbon Nanoparticle Interposed between Two Hydrogenated Amorphous Carbon Films on Surface Morphology of a-C:H Film, 第39回 九州・山口プラズマ研究会, 2023.12.
14. N. Itagaki, Z. Shen, Y. Wada, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Fabrication of ZnO Based Transparent Conducting Oxide as an Alternative to In2O3:Sn by Sputtering Combined with Solid Phase Crystallization, MRM2023/IUMRS-ICA2023, 2023.12.
15. K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani, Hybrid machine learning model prediction for high mobility amorphous ITO films fabricated by RF plasma sputtering, MRM2023/IUMRS-ICA2023, 2023.12.
16. K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Evaluation of Elastic Parameters of a-C:H Film with Carbon Nanoparticles Using Nanoindentation Method, MRM2023/IUMRS-ICA2023, 2023.12.
17. M. N. Agusutrisno, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, N. Yamashita, Enhancement of The Coercivity and Blocking Temperature of Co doped ZnO films by RF sputtering Using Nitrogen, MRM2023/IUMRS-ICA2023, 2023.12.
18. 恵利眞人, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治, クメンを用いた二周波容量結合プラズマ CVD 法による a-C:H 膜の製膜特性, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
19. 佐藤優志, 山本祐馬, F. W. Sukuma, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, TEOS-PECVD における発光分光計測を用いたSiO2 薄膜膜質推定についての研究, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
20. 乙部響, P. Attri,奥村賢直, 史合平, 中尾匠,日高直哉, 鎌滝晋礼,山下大輔,板垣奈穂,古閑一憲,白谷正治, 水と大気圧プラズマの相互作用による二酸化炭素分解, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
21. 長尾伊織,山本祐馬,佐藤優志,鎌滝晋礼,山下尚人, 奥村賢直,木山治樹,板垣奈穂,古閑一憲,白谷正治, 放電電圧変調波形が高周波容量結合プラズマに与える影響:PIC-MCC シミュレーション, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
22. 中野祐太郎,成重椋太,山下尚人,鎌滝晋礼,奥村賢直,古閑一憲,白谷正治,木山治樹,板垣奈穂, 逆 Stranski-Krastanov モードを利用した異なるオフ角を有するサファイア基板上への(ZnO)x(InN)1-x 膜の成長, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
23. 畑昌太朗,中野祐太郎,成重椋太,山下尚人,鎌滝晋礼,奥村賢直,古閑一憲,白谷正治,木山治樹,板垣奈穂, 逆 Stranski-Krastanov モードによるサファイア基板上への(ZnO)x(InN)1-x 膜のエピタキシャル成長:バッファー層のモフォロジーの影響, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
24. 脇田大地,大高真寛,池田築,頼建勲,大友洋,鎌滝晋礼,山下直人,板垣奈穂,古閑一憲,白谷正治,進藤崇央,松土龍夫, 任意波形放電による水素化アモルファスカーボン成膜:イオンフラックスが膜質に与える影響, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
25. 池田築, 大高真寛,大友洋,脇田大地,頼建勲,鎌滝晋礼, 山下大輔,奥村賢直,山下尚人,板垣奈穂,古閑一憲, 白谷正治, 進藤崇央,松土龍夫, PECVD を用いた a-C:H 成膜における添加希ガス種における成膜機構の違い, プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会, 2023.12.
26. 中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲, 空気プラズマを用いた窒素固定に対する放電電力密度の効果, 第40回 プラズマ・核融合学会 年会, 2023.11.
27. H. Otsuyama, R. Mitsuishi, T. Yunoue, K. Yataka, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of thickness of 3D island buffer layer, 2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference, 2023.11.
28. 頼 建勳, 鎌滝 晋礼, 山下 大輔, 奥村 賢直, 山下 尚人, 板垣 奈穂, 古閑 一憲, 白谷 正治, 任意電圧波形放電による容量結合プラズマにおける重畳周波数の効果:PIC-MCCモデル , 2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference, 2023.11.
29. 山本祐馬, 佐藤優志, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, TEOS-PECVD によるSiO2成膜におけるプラズマ発光強度と膜質の関係, 2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference, 2023.11.
30. 井口恒聖, 佐藤斗真, 鎌滝晋礼, P. Yiming, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 富田健太郎, プラズマ中に浮遊する微粒子の帯電量の新評価法, 2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference, 2023.11.
31. S. Ono, M. Eri, T. Okumura, K. Kunihiro, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Comparative study of deposition characteristics of different precursors for plasma CVD, DPS2023, 2023.11.
32. K. Koga, T. Okumura, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, M. Shiratani, Quantitative Analysis of Electric Field Intensity Generated by Scalable Dielectric Barrier Discharge Electrodes for Irradiating to Plant Seeds, DPS2023, 2023.11.
33. 史 合平,奥村 賢直,パンカジ アトリ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治, 質量分析を用いたプラズマ照射イネ種子における分子修飾解析, 第33回日本MRS年次大会, 2023.11.
34. 乙部 響,史 合平,奥村 賢直,阿南 輝樹,アタリ パンカジ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治, スケーラブル誘電体バリア放電プラズマを照射したDMPOの質量分析, 第33回日本MRS年次大会, 2023.11.
35. 日高 直哉,奥村 賢直,アタリ パンカジ,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治, 液体クロマトグラフィー質量分析法を用いたプラズマ被照射物からの亜硝酸塩および硝酸塩の同時検出法の開発, 第33回日本MRS年次大会, 2023.11.
36. 中尾 匠,奥村 賢,アタリ パンカジ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,白谷 正治,古閑 一憲, 大量処理のためのプラズマ照射による土壌への窒素固定化の最適化, 第33回日本MRS年次大会, 2023.11.
37. K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani, Predictions for High Mobility Amorphous ITO(In2O3:Sn) Films via Hybrid Machine Learning Model, AAPPS-DPP2023, 2023.11.
38. N. Itagaki, Y. Wada, H. Yabuta, M. Shiratani , Fabrication of ZnO based transparent conducting oxides by sputtering combined with solid phase crystallization : a way to meet the future demand for transparent electrodes, AAPPS-DPP2023, 2023.11.
39. M. Shiratani, T. Sato, K. Kamataki, S. W. Fitriani,K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, Highly sensitive electric field vector measurements using an optically trapped fine particle , Global Plasma Forum in Aomori , 2023.10.
40. K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Evaluation of carbon nanoparticle adhesion on substrate surface deposited by plasma CVD , Global Plasma Forum in Aomori , 2023.10.
41. S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, Controlling the synthesis, transport, and surface coverage of carbon nanoparticles using plasma CVD , Global Plasma Forum in Aomori , 2023.10.
42. K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N.Yamashita, N. Itagaki, M. Shiratani, Evaluation of Interaction Between Substrate and Nanoparticles Deposited by Plasma Chemical Vapor Deposition, GEC, 2023.10.
43. 中尾匠, 奥村賢直, パンカジアタリ, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, プラズマ照射による窒素固定肥料へのサトウキビの表現型応答解析, 第84回応用物理学会秋季学術講演会, 2023.09.
44. 大高真寛,大友洋, 池田築, 頼建勲, 脇田大地, 鎌滝晋礼, 山下直人, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫, 任意波形放電を用いた水素化アモルファスカーボン膜の膜質制御, 第84回応用物理学会秋季学術講演会, 2023.09.
45. 小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治, サンドウィッチ構造 a-C:H 膜の剥離と強度に対する 膜界面に堆積したナノ粒子の効果, 第84回応用物理学会秋季学術講演会, 2023.09.
46. 史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 質量分析を用いたプラズマ照射DMPOの分子修飾解析 , 第84回応用物理学会秋季学術講演会, 2023.09.
47. 中野祐太郎, 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 木山治樹, 薮田久人, 板垣奈穂, 逆Stranski-Krastanovモードによる格子不整合基板上への原子平坦 (ZnO)x(InN)1-x 膜の成長, 第84回応用物理学会秋季学術講演会, 2023.09.
48. 成重椋太, 中野祐太朗, 山下尚人, 鎌滝晋礼, 奥村賢直, 木山治樹, 古閑一憲, 白谷正治, 薮田久人, 板垣奈穂, ZnOおよびScAlMgO4ステップ基板上への(ZnO)x(InN)1-x膜の室温エピタキシャル成長, 第84回応用物理学会秋季学術講演会, 2023.09.
49. 矢高功太郎, 湯上貴文, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, サファイア基板上への原子ステップを有するZn1-xMgxO薄膜の形成:3次元島バッファー層の形成温度の影響, 第84回応用物理学会秋季学術講演会, 2023.09.
50. 湯上貴文, 矢高功太郎, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲, 白谷正治, 板垣奈穂, 逆Stranski-Krastanovモードを用いたサファイア基板上へのZnO単結晶膜成長:MgOバッファー層の効果, 第84回応用物理学会秋季学術講演会, 2023.09.
51. 和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, ZnON膜からの固相結晶化ZnO膜の形成と透明導電膜シード層としての効果:ZnON膜の結晶化度の影響, 第84回応用物理学会秋季学術講演会, 2023.09.
52. 鎌滝晋礼, 佐藤斗真, 井口恒聖, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(4), 第84回応用物理学会秋季学術講演会, 2023.09.
53. 板垣奈穂, スパッタだからできる薄膜の結晶性・モフォロジー制御, 化学工学会第54回秋季大会, 2023.09.
54. 史合平, 奥村賢直, A. Pankaj, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 質量分析を用いたプラズマ照射イネ種子における変動分子の組織別解析, 2023年度(第76回)電気・情報関係学会九州支部連合大会, 2023.09.
55. 中尾匠, 奥村賢直, A. Pankaj, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 大気圧空気プラズマによる硝酸態窒素固定量に対する放電電力密度依存性, 2023年度(第76回)電気・情報関係学会九州支部連合大会, 2023.09.
56. T. Okumura, S. Tsuboyama, Y. Tagawa, T. Nakao, T. Anan, H. Tanaka, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, K. Koga, M. Shiratani, K. Kuchitsu, Measurement of electric field, UV photons, and long-lifetime reactive species generated by atmospheric pressure air plasma for plasma bio applications, ICPIG2023, 2023.07.
57. J. Lai, T. Arima, M. Otaka, K. Ikeda, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Effects of lower discharge frequency on ion energy distribution function in dual frequency plasma studied by particle-in-cell/Monte Carlo method, ICPIG2023, 2023.07.
58. Y. Wada, Z. Shen, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive 30-nm-thick ZnO:Al films using ZnO seed layers grown via solid-phase crystallization, ICPIG2023, 2023.07.
59. R. Narishige, Y. Nakano, N. Yamashita, K. Kamataki, T. Okumura, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki, Pseudomorphic growth of (ZnO)x(InN)1-x films on ZnO substrates by magnetron sputtering using Ar/N2/O2 discharges, ICPIG2023, 2023.07.
60. T. Yunoue, K. Yataka, N. Yamashita, D. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki, Sputter epitaxy of Zn1-xMgxO films on lattice-mismatched sapphire substrates utilizing ZnO(N)/MgO buffer layers fabricated by Ar/N2 and Ar/O2 discharges, ICPIG2023, 2023.07.
61. K. Ikeda, M. Otaka, H. Otomo, T. Arima, J. Lai, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo, Effects of Ne mixing on plasma enhanced chemical vapor deposition of a-C:H films using CH4/Ar/Ne capacitively coupled discharges, ICPIG2023, 2023.07.
62. Y. Yamamoto, I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Relation between Spatial Distribution of Optical Emission Intensity and SiO2 Film Property in TEOS-PECVD, ICPIG2023, 2023.07.
63. S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Deposition characteristics of cumene plasma CVD for high-speed deposition of high-density a-C:H films, ICPIG2023, 2023.07.
64. Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki, Sputter epitaxy of atomically flat (ZnO)x(InN)1-x films on sapphire substrates using ZnO(N) buffer layers fabricated by Ar/N2 discharges, ICPIG2023, 2023.07.
65. K. Koga, S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Coverage control of carbon nanoparticles on substrate using capacitively coupled plasma chemical vapor deposition, ICPIG2023, 2023.07.
66. K. Kamataki, T. Sato, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of particle charge and interparticle interaction in a plasma, ICPIG2023, 2023.07.
67. I. Nagao, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, Control of ion trajectory in high aspect ratio trenches by using amplitude modulated rf discharges, ICPIG2023, 2023.07.
68. T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Optical tweezers technique for electric field strength and fluctuation measurements in plasma using a fine particle, ICPIG2023, 2023.07.
69. M. Otaka, H. Otomo, K. Ikeda, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo, Effects of tailored voltage waveform discharges on deposition of hydrogenated amorphous carbon films by CH4/Ar capacitively coupled plasma, ICPIG2023, 2023.07.
70. 井口恒聖, 佐藤斗真, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下尚人, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中帯電微粒子の相互作用に関する研究, 2023年度日本表面真空学会 九州支部学術講演会, 2023.06.
71. 小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子を用いた膜界面の形状ゆらぎによる膜応力低減, 2023年度日本表面真空学会 九州支部学術講演会, 2023.06.
72. K. Kamataki, F. L. Chawarambwa, D. Yamashita, N. Yamashita, T.Okumura, N. Itagaki, K.Koga, M. Shiratani, Prediction of Plasma CVD Process Data of a-Si:H Films via Machine Learning, ISPC25, 2023.05.
73. 田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVD法で堆積した2層a-C:Hの機械的強度に対するカーボンナノ粒子挿入の効果, 第70回応用物理学会 春季学術講演会, 2023.03.
74. 鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(3), 第70回応用物理学会 春季学術講演会, 2023.03.
75. 阿南輝樹, 奥村賢直, アトリパンカジ, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ照射によるレタス種子表面および内部の分子変動, 第70回応用物理学会 春季学術講演会, 2023.03.
76. 山下尚人, A. Agustrisno, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, C. Marrows, Non-off Axis Sputtering Deposition of Ferrimagnetic Insulator Film with Perpendicular Magnetic Anisotropy, 第70回応用物理学会 春季学術講演会, 2023.03.
77. N. Itagaki, Structural Control of Sputter Deposited Films Using Impurities, International C+Nano Convergence Technology Symposium (ICTS), 2023.01.
78. Z. Shen, Y. Mido, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani1, H. Yabuta, N. Itagaki, A Study of Solid-phase Crystallization of Amorphous ZnON Films, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
79. K. Kamataki, Y. Mido, I. Nagao, D. Yamashita, T. Okumura, N.Yamashita, K. Koga, N. Itagaki, M. Shiratani, Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
80. T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Effects of lower discharge frequency on plasma parameters in capacitively coupled plasmas studied by particle-in-cell/Monte Carlo collision method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
81. N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Microscopic analysis of single crystalline Zn1-xMgxO thin films on sapphire grown via inverted Stranski-Krastanov mode, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
82. R. Mitsuishi, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of RF powers on the crystal quality and surface morphology of single crystalline ZnO films deposited by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
83. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of thermal treatment of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
84. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Masaharu, Effects of Gas Pressure on Deposition Characteristics of Hydrogenated Amorphous Carbon Films Produced by Ar + CH4 Capacitively Coupled Plasma, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
85. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation Discharge on Ion Energy and Angular Distributions studied by PIC-MCC method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
86. A. Yamamoto, I. Nagao, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fluctuation of plasma and amount of nanoparticles in TEOS amplitude modulated rf discharge, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
87. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar and Ar/Ne capacitively coupled radio frequency plasma., 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
88. K.Yataka, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline Zn1-xMgxO films on sapphire substrate via inverted Staranski-Krastanov mode: effects of substrate , 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
89. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. 0kumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani, Structural Characteristics of Carbon Sphere Composite Deposited by Ar+CH4 Plasma Chemical Vapor Deposition Method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
90. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Measurement of fluctuation of electric field in Ar plasmas using a fine particle trapped with laser tweezers, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
91. 湯上貴文, 矢高功太郎, 三石遼, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 薮田久人, 板垣奈穂, サファイア基板上への Zn1-xMgxO 膜のスパッタエピタキシー:ZnON/MgO バッファー層の効果, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
92. 和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 板垣奈穂, 固相結晶成長した ZnO シード層上への ZnO:Al 膜のスパッタリング成膜, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
93. 田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲,白谷正治, ナノインデンテーションを用いた a-C:H/CNP/a-C:H サンドイッチ構造膜の機械的強度評価, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
94. 池田築,大高真寛,大友洋,有馬聡明,頼建勲,鎌滝晋礼, 山下大輔,奥村賢直,山下尚人,板垣奈穂,古閑一憲,白谷正治, 進藤崇央,田中諭志,松土龍夫, Ar/Ne/CH4 プラズマ CVD による a-C:H 成膜への Ne 混合効果, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
95. 内野泰佑, アタリ パンカジ, 奥村賢直, 古閑一憲,山下大輔, 鎌滝普礼, 山下尚人, 板垣奈穂, 白谷正治, 水への CO₂プラズマ照射による選択的 CO 生成 , プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
96. 中尾匠,小野晋二郎,山本小龍,内野泰祐,奥村賢直,P. Attri,古閑一憲, 山下大輔,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治, プラズマ照射を用いて窒素リッチ化した肥料で栽培したサトウキビの生育評価, プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会, 2022.12.
97. K. Koga, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Kuchitsu, K. Ishikawa, M. Hori, Influence of Seed Dormancy on Plasma Irradiation Effects on their Germination, 第32回日本MRS年次大会, 2022.12.
98. T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on biomolecules extracted from plasma-irradiated plant seeds using quadrupole mass spectrometer, 第32回日本MRS年次大会, 2022.12.
99. T. Anan, T. Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma Irradiation-induced Molecular Modification of Quercetin as Plant Antioxidant, 第32回日本MRS年次大会, 2022.12.
100. S. Yamamoto, T. Nakao, T. Anan, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Yanagawa, S. Shimada, Improvement of Germination Characteristics of Sorghum bicolor Seeds Irradiated by Surface Discharge Plasma, 第32回日本MRS年次大会, 2022.12.
101. K. Ikeda, M. Otaka, T. Arima, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Discharge frequency dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, 第32回日本MRS年次大会, 2022.12.
102. K. Kamataki, T. Sato, K. Tomita, Y. Pan, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurement of the charge on single fine particles in plasma, 第32回日本MRS年次大会, 2022.12.
103. J. Lai, T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of dual frequency method in capacitively coupled plasmas using particle-in-cell/Monte Carlo method, 第32回日本MRS年次大会, 2022.12.
104. Y. Yamamoto, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulated Discharge on SiO2 film qualities in TEOS Plasma CVD, 第32回日本MRS年次大会, 2022.12.
105. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani , Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition, 第32回日本MRS年次大会, 2022.12.
106. K. Kamataki, T. Sato, k. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Electric fields measurement using an optically trapped charged particle in Ar plasma (Invited), 第32回日本MRS年次大会, 2022.12.
107. F. L. Chawarambwa, M. Shiratani, K. Kamataki, N. Itagaki, Predictive Analytics in Impurity Mediated Amorphization Plasma Sputtering Process using Machine Learning tools and Techniques, 第32回日本MRS年次大会, 2022.12.
108. H.Yabuta, N. Itagaki, T. Ekino, Y. Shigesato, Amorphous In-Ga-Mg-O thin film: Optical, Electrical Properties and TFT characteristics, 第32回日本MRS年次大会, 2022.12.
109. 板垣奈穂, 不純物でスパッタ膜のモフォロジーを制御する~アモルファスからエピ膜まで~ (招待講演), 第78回CVD研究会, 2022.12.
110. N. Itagaki, Sputtering Growth of Single-Crystalline ZnO Based Semiconducting Films on Large Lattice-Mismatched Substrates (Invited), International Workshop on Magnetron Sputter Epitaxy (IWMSE), 2022.11.
111. Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki, Sputter epitaxy of (ZnO)x(InN)1-x films on sapphire substrates using ZnON buffer layers, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
112. Z. Shen, H. Yabuta, Y. Wada, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Kiyama, N. Itagaki, Sputtering deposition of ZnO:Al Transparent Conducting Films on Seed Layers Crystallized via Thermal Annealing of Amorphous ZnON Films, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
113. 有馬聡明, 頼建勲, 大高真寛, 池田築, 長尾伊織, 鎌滝晋礼, 山下大輔, 山下尚人, 板垣奈穂, 奥村賢直, 古閑一憲, 白谷正治, 二周波重畳容量結合並行平板放電の PIC-MCC シミュレーション:駆動周波数の効果, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
114. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions, DPS2022, 2022.11.
115. T. Anan, T. Nakao, T. Okumura, P. Attri, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics, DPS2022, 2022.11.
116. T. E. Putri, F. L. Chawarambwa, P. Attri, K. Kamataki, N. ltagaki, K. Koga, M. Shiratani, Enhancing output power of polymer electrolytes DSSCs with V-shape low­concentrated light systems, PVSEC-33, 2022.11.
117. N. Itagaki, Structural Control of Sputter Deposited Films beyond the Thornton Diagram Using Impurities (keynote), AAPPS-DPP 2022, 2022.10.
118. T.Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N.Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of electric field measurements in Ar plasmas using an optically trapped fine particle, AAPPS-DPP 2022, 2022.10.
119. T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma irradiation-introduced RONS amount into plant seeds and their response analysis, ICRP-11/GCE2022, 2022.10.
120. S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation, ICRP-11/GCE2022, 2022.10.
121. M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki, Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode, ICRP-11/GCE2022, 2022.10.
122. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi, Plasma induced conversion of CO2 with water to useful compounds, ICRP-11/GCE2022, 2022.10.
123. T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy, ICRP-11/GCE2022, 2022.10.
124. M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, ICRP-11/GCE2022, 2022.10.
125. A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, The role of post-annealing treatment on ZnO:Co structure and magnetic transition grown by sputtering deposition, ICIEE 2022, 2022.10.
126. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model, ICRP-11/GCE2022, 2022.10.
127. K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(Invited), ICRP-11/GCE2022, 2022.10.
128. K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth, ICRP-11/GCE2022, 2022.10.
129. 沈志遠, 薮田久人, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, 固相結晶化シード層上へのZnO:Al透明導電膜のスパッタリング成膜:固相結晶化温度の影響, 第83回応用物理学会秋季学術講演会, 2022.09.
130. 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシー法による(ZnO)x(InN)1-x単結晶薄膜の室温成膜, 第83回応用物理学会秋季学術講演会, 2022.09.
131. 三石遼, 矢高功太郎, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 格子不整合サファイア基板上への単結晶ZnO膜のスパッタリング成膜: ZnONバッファー層の効果, 第83回応用物理学会秋季学術講演会, 2022.09.
132. 矢高功太郎, 高橋大智, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 異なるMg組成を有する単結晶Zn­­1-xMgxO膜成長におけるZnONバッファー層の効果, 第83回応用物理学会秋季学術講演会, 2022.09.
133. 鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(2), 第83回応用物理学会秋季学術講演会, 2022.09.
134. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani, Role of plasma treatment on radish seeds affects germination, morphology, and biochemical responses, 第83回応用物理学会秋季学術講演会, 2022.09.
135. 山本晃大, 長尾伊織, 山本祐馬, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 振幅変調放電法を用いたTEOSプラズマCVDへの効果, 第83回応用物理学会秋季学術講演会, 2022.09.
136. 山下尚人, 中村優太, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, サファイア基板上の単結晶ZnO薄膜作製におけるバッファー層評価指標, 第83回応用物理学会秋季学術講演会, 2022.09.
137. 中尾匠, 奥村賢直, パンカジアトリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治, 大気圧空気プラズマを用いた肥料への窒素固定と圃場試験, 第83回応用物理学会秋季学術講演会, 2022.09.
138. 奥村賢直, 阿南輝樹, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 休眠状態を考慮したレタス種子発芽へのプラズマ照射効果, 第83回応用物理学会秋季学術講演会, 2022.09.
139. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of amplitude modulated discharge on suppression of nanoparticle growth in TEOS/O2/Ar capacitively coupled plasma, IVC-22, 2022.09.
140. S. Ono, S. H. Hwang, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Eff ects of Modifi cation of Film Interface by Nanoparticles on Mechanical Properties of Films, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
141. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Eff ects of Amplitude Modulated RF Discharge on Properties of SiO2 Films Deposited by TEOS/O2/Ar Plasma-Enhanced Chemical Vapor Deposition, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
142. N. Itagaki, Y. Mido, Z. Shen, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Low-Resistive Amorphous In2O3 :Sn Films at 600°C by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
143. A. M. Nurut, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Control of Crystal Structure and Magnetic Properties of ZnO: Co Films Grown by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
144. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of surface polarity of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated at room temperature, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
145. A. M. Nurut, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, N. Itagaki, M. Shiratani, Magnetic properties of Co-doped ZnO films fabricated by sputtering using mediated crystallization., IUMRS-ICYRAM 2022, 2022.08.
146. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Ion energy and angular distribution functions in amplitude modulated capacitively coupled Ar discharge plasma obtained using particle-in-cell Monte Carlo collision model simulation, IUMRS-ICYRAM 2022, 2022.08.
147. M. Otaka, D. Nagamatsu1, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar/Ne capacitively coupled radio frequency plasma, IUMRS-ICYRAM 2022, 2022.08.
148. T. Arima, M.Otaka, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Spatio-temporal structures of plasma density in lower driving rf frequency plasmas studied by particle-in-cell/Monte Carlo collision method, IUMRS-ICYRAM 2022, 2022.08.
149. T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Thermoplastic Polymer Electrolytes for DSSCs Performance Under V-Shape Low-Concentrated Light, IUMRS-ICYRAM 2022, 2022.08.
150. A. Pankaj, T. Okumura, F. L. Chawarambwa, T. E. Putri, N. Takeuti, K. Koga, K. Ishikawa, K. Kamataki, M. Shiratani, Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds, IUMRS-ICYRAM 2022, 2022.08.
151. 板垣奈穂, 不純物で薄膜モフォロジーを制御する~アモルファスからエピ膜まで~ (招待講演), 日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会 2022, 2022.07.
152. N. Yamashita, A. Agusutrisno, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Increase of Blocking Temperature in Co-doped ZnO by Using NitrogenMediated Crystallization, ICMFS-2022, 2022.07.
153. 山本晃大、長尾伊織、山本祐馬、大高真寛、山下大輔、鎌滝晋礼、奥村賢直、山下尚人、板垣奈穂、古閑一憲、白谷正治, TEOSプラズマSiO2成膜に及ぼす振幅変調放電の効果, 2022年度日本表面真空学会 九州支部学術講演会, 2022.06.
154. 三石遼, 山下尚人, 矢高功太郎, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 逆Stranski-Krastanovモードによる単結晶ZnO薄膜のスパッタリング成膜:窒素流量の影響, 2022年度日本表面真空学会 九州支部学術講演会, 2022.06.
155. 中尾匠、奥村賢直、P. Attri、古閑一憲、山下大輔、鎌滝晋礼、山下尚人、板垣奈穂、白谷正治, 大気圧空気プラズマで生成した硝酸態窒素の水表面への輸送評価, 2022年度日本表面真空学会 九州支部学術講演会, 2022.06.
156. K. Kamataki, S. Okunaga,T. Sato,K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Development of Measurement of Two-Dimensional Distribution of Strength of Electrical Field with High Spatial Resolution Using Optical Trapped Particle in Plasma, MRS spring meeting 2022, 2022.05.
157. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N.Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
158. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M.Shiratani, Growth of Nanoparticles in TEOS rf Plasma with Amplitude Modulation, MRS spring meeting 2022, 2022.05.
159. N. Yamashita, Y. Nakamura, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Key parameters for single crystalline ZnO film growth by magnetron sputtering via inverted Stranski-Krastanov mode, MRS spring meeting 2022, 2022.05.
160. T. Okumura , K. Koga , P. Attri , K. Kamataki , N. Yamashita , N. Itagaki, M. Shiratani, Quantitative Evaluation through LC-QqQ MS/MS for RONS Induced into Dry Seeds by Non-Thermal Plasma Irradiation, MRS spring meeting 2022, 2022.05.
161. R. Mitsuishi, N. Yamashita, D.Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Single-Crystalline ZnO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode by Low-Power Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
162. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, N. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani, Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
163. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time Resolved Optical Emission Spectroscopy in Ar and Ar/Ne Capacitively Coupled Radio Frequency Plasma, MRS spring meeting 2022, 2022.05.
164. T. Arima , T. Yang, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effects of rf Frequency on Plasma Density in Capacitively Coupled Plasmas at Low Pressure Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
165. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, MRS spring meeting 2022, 2022.05.
166. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Amplitude Modulation Frequency Dependence of Ion Energy Distribution in Capacitively Coupled Discharge Plasma Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
167. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani, Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
168. 奥村賢直,阿南輝樹,パンカジアタリ, 古閑一憲, 鎌滝晋礼,山下尚人, 板垣奈穂, 白谷正治, 石橋勇, 調湿レタス種子のラジカル量に与えるプラズマ照射の効果, 第69回応用物理学会春季学術講演会, 2022.03.
169. 古閑一憲, 坪山祥子,田川雄大, 中尾匠,田中颯,阿南輝樹,奥村賢直 ,P. Attri,鎌滝晋礼,山下尚人 ,板垣奈穂 ,白谷正治 ,朽津和幸, 植物へのプラズマ照射効果解明に向けたゼニゴケ実験系の確立, 第69回応用物理学会春季学術講演会, 2022.03.
170. 吉川大智, 小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 鷹林将,呉準席, 中谷達行, CNP 層を挿入した a-C:H 膜の応力低減に対する CNP 被覆率の効果, 第69回応用物理学会春季学術講演会, 2022.03.
171. 小野晋次郎, 吉川大智, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 呉準席, 鷹林将, 中谷達行, プラズマ CVD 法を用いた a-C:H 薄膜製膜特性に対するガス圧力・基板位置の効果, 第69回応用物理学会春季学術講演会, 2022.03.
172. 鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人,奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治 , 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測, 第69回応用物理学会春季学術講演会, 2022.03.
173. 古閑一憲,黄成和,小野晋次郎,吉川大智, 奥村賢直,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治, プラズマCVDを用いて堆積した薄膜のナノ構造化による機械的特性制御, 日本物理学会 第77回年次大会(2022年), 2022.03.
174. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Deposition of Carbon Nanoparticles of 5 nm in Size on Positively Biased Substrates using Multi-Hollow Discharge Plasma CVD Method, ISPlasma2022/IC-PLANTS2022, 2022.03.
175. H. Tanaka, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Itagaki, M. Shiratani, Y. Ishibashi, M. Nakano, K. Namiki, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, K. Koga, Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds, ISPlasma2022/IC-PLANTS2022, 2022.03.
176. 大島多美子, 日比野祐介,猪原武士,柳生義人, 佐竹卓彦, 川崎 仁晴, 青木 振一, 板垣奈穂, 古閑一憲, 白谷正治, 異なる状態の混合粉末ターゲットによるAlドープ酸化亜鉛薄膜のスパッタリング堆積, SPP-39/SPSM34, 2022.01.
177. 鎌滝晋礼, 阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 奥村賢直, 板垣奈穂, 古閑ー憲, 白谷正治, AM変調放電を圧いたTEOS プラズマにおけるナノ粒子成長とプラズマ生成の関係についての研究, SPP-39/SPSM34, 2022.01.
178. 阿南 輝樹, 田中颯,奥村賢直, アタリパンカジ, 中尾匠, 鎌滝晋礼,山下尚人, 板垣奈穂,古閑ー憲, 白谷 正治, プラズマ照射による酸化還元反応に対する種子内水分量の効果, SPP-39/SPSM34, 2022.01.
179. 奥村 賢直, アタリバンカジ,中尾匠, 田中颯, 鎌滝晋礼, 山下尚人, 古閑ー憲, 板垣奈穂, 白谷正治, 竹内希, プラズマ照射肥料を用いて栽培した植物の成長解析, SPP-39/SPSM34, 2022.01.
180. 佐藤斗真, 奥永冴京, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセット技術を用いたプラズマ中微粒子への作用力の絶対値校正, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
181. 大高真寛, 有馬聡明, 永松大樹, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 平行平板容量結合高周波プラズマ発光の時空間分解計測, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
182. 陶陽, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, PIC-MCCM を用いた平行平板容量結合プラズマに対する放電周波数依存性に関する研究, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
183. 長尾伊織, 阿部滉平, 山本晃大, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 平行平板容量結合高周波放電プラズマの AM 変調周波数依存性に関する PICMCC シミュレーション, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
184. 矢髙功太郎, 山下尚人, 髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 逆 Stranski-Krastanov モードを利用した単結晶 ZnMgO 薄膜のスパッタリング成膜, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
185. Z. Shen, N. Yamashita, Y. Mido, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki , Solid-phase Crystallization of Sputter-deposited ZnON Films and Their Impacts as Seed Layers for ZnO:Al Transparent Conducting Oxides, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
186. N. Itagaki, Development of New Oxynitride Semiconductors with Tunable Band Gaps Using Magnetron Sputtering(Invited), Materials Research Meeting 2021 (MRM2021), 2021.12.
187. M. Shiratani, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga , N2/SiH4 flow rate ratio dependence of nanoparticle incorporation in SiNx films deposited by plasma CVD, Materials Research Meeting 2021 (MRM2021), 2021.12.
188. F. Chen, K. Kamataki, Y. Tao, S. Okunaga, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Key Process Parameters of Plasma CVD for Fabricating a-Si:H Solar Cells through Boosting Technique, Materials Research Meeting 2021 (MRM2021), 2021.12.
189. K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, P. Attri, Possible plasma oxidation effect on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2): A computational study, Materials Research Meeting 2021 (MRM2021), 2021.12.
190. Y.Tao, D. Nagamatsu, K. Kamataki, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of Radial and Vertical Electric Field in Capacitively Coupled Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
191. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Structural analysis of carbon nanoparticle composite films prepared by Ar+CH4 multi-hollow plasma chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
192. S. Okunaga, T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N.Itagaki, K. Koga, M. Shiratani, Calibration of force acting on an optically trapped particle in Ar plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
193. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
194. K. Kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Investigation of 2D electric field measurements in Ar plasmas using a fine particle trapped with laser tweezers, Materials Research Meeting 2021 (MRM2021), 2021.12.
195. K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Ion Energy Distribution Function in DC Pulse biased Capacitively Coupled Plasma Discharge by using Particle-In-Cell/Monte Carlo Collision Model, Materials Research Meeting 2021 (MRM2021), 2021.12.
196. T. Okumura, T. Anan, H. Tanaka, D. Yamashita, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Y. Fujimoto, M. Kumauchi, H. Matsui, Effect of intraseed moisture and plasma irradiation on lettuce seed surface, Materials Research Meeting 2021 (MRM2021), 2021.12.
197. M. Ideguchi, T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse rf discharge on carbon dioxide methanation in plasma catalytic method, Materials Research Meeting 2021 (MRM2021), 2021.12.
198. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani , Structural Analysis of Hydrogenated Amorphous Carbon Films Deposited by Capacitively Coupled Plasma Chemical Vapor Deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
199. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effect of rf bias on the film property of amorphous silicon oxide films deposited by plasma enhanced chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
200. 奥村賢直, アトリ パンカジ,石橋勇志, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治, プラズマ照射された植物種子に関する物理的、化学的および分子生物学的研究(招待講演), 第31回日本MRS年次大会, 2021.12.
201. 田中颯, 阿南輝樹, 奥村賢直, A. Pankaj, 古閑一憲, 鎌滝晋礼, 板垣奈穂, S. Chetphilin, 石橋勇志, 白谷正治, イネ種子へのプラズマ照射がDNAメチル化レベルに及ぼす影響, 第31回日本MRS年次大会, 2021.12.
202. 阿南輝樹, 田中颯, 奥村賢直, A. Pankaj, 山下大輔, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 石橋勇志, 白谷正治, 電子常磁性共鳴法を用いたレタス種子内ラジカル量のプラズマ照射時間依存性, 第31回日本MRS年次大会, 2021.12.
203. 古閑一憲, アタリ パンカジ, 奥村賢直, 竹内希, 鎌滝晋礼, 板垣奈穂, 白谷正治, 水素と触媒を用いない低圧窒素プラズマを用いた窒素肥料作製, 第31回日本MRS年次大会, 2021.12.
204. 中尾匠, 阿南輝樹, 田中颯, 奥村賢直, 山下大輔, A. Pankaj, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治, スケーラブル誘電体バリア放電による対象物への活性酸素窒素種暴露量の評価, 第31回日本MRS年次大会, 2021.12.
205. 田川雄大, 奥村賢直, 鎌滝晋礼, A. Pankaj, 山下大輔, 古閑一憲, 板垣奈穂, 白谷正治, ポッケルスセルを用いたスケーラブル誘電体バリア放電により生じる電界の測定, 第31回日本MRS年次大会, 2021.12.
206. N. Itagaki, Inverted Stranski-Krastanov Growth of ZnO Based Semiconductors for Excitonic Devices(Invited), Materials Research Meeting 2021 (MRM2021), 2021.12.
207. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of Growth Suppression Mechanism of Nanoparticles through Amplitude Modulation Discharge Method in TEOS Plasma, the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12), 2021.12.
208. T. E. Putri, L. F. Chawarambwa, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Flowing Electrolyte System of Bifacial Dye-Sensitized Solar Cells Under Low-Concentrated Light, MRS fall meeting 2021, 2021.12.
209. N. Itagaki, Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures(Invited), MRS fall meeting 2021, 2021.12.
210. D. Takahashi, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Inverted Stranski-Krastanov Growth of Single-Crystalline Zn Mg O Films on Sapphire Substrates using Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
211. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
212. Y. Mido, K. Takeda, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, M. Hori, N. Itagaki, High-temperature Growth of Amorphous In O :Sn Films by Magnetron Sputtering using Nitrogen, MRS fall meeting 2021, 2021.12.
213. T. Anan, H. Tanaka,T. Okumura, P. Attri,D. Yamashita,K. Kamataki,K. Koga, N. Itagaki, M. Shiratani, Y.Ishibashi, Effects of Plasma Irradiation to Moistened Seeds on Radical Amount, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
214. R. Mitsuishi, D. Takahashi, D. Yamashita, T. Okumura, N. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of RF power, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
215. S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Low-stress diamond-like carbon films containing carbon nanoparticles produced by combining rf sputtering and plasma chemical vapor deposition, Japan-RUB Workshop, 2021.11.
216. 奥村賢直,パンカジアトリ,古閑一憲,鎌滝晋礼,板垣奈穂,白谷正治, 種子に導入された大気圧空気プラズマ起因活性種の高感度微量定量測定法の創成, プラズマ・核融合学会 第38回年会, 2021.11.
217. 古閑一憲,田川雄大,中尾匠,阿南輝樹,田中颯,奥村賢直,P. Attri,鎌滝晋礼,板垣奈穂,白谷正治,坪山祥子,橋本研志,朽津和幸, 植物照射用スケーラブル誘電体バリア放電の生成粒子の計測, プラズマ・核融合学会 第38回年会, 2021.11.
218. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, S. Tahara, Y. Mizokami, Y. Miyata, K. Tabuchi, T. Tanikuni, S. Hiyama, K. Nagahata, Better step coverage of TEOS-PECVD SiO2 films realized by amplitude modulation of RF discharge voltage, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
219. M. Shiratani, K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, Study of effect of amplitude modulated discharge on growth of nanoparticles in TEOS plasma, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
220. S. H. Hwang, S. Ono, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, J.-S. Oh, S. Takabayashi, T. Nakatani, Reduction of compressive stress of hydrogenated amorphous carbon films by inserting carbon nanoparticle layer using plasma CVD, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
221. K. Koga, Y. Ishibashi, C. Suriyasak, T. Okumura, H. Tanaka, P. Attri, K. Matsuo, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, Molecular Analysis of Plasma-Induced Germination Improvement of Rice Seeds With High-Temperature Stress Damage, AVS67, 2021.10.
222. K. Koga, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani, Transport of Nanoparticles in Afterglow Region Using Multi-Hollow Discharge Plasma CVD, 74th Annual Gaseous Electronics Conference, 2021.10.
223. N. Itagaki, Sputter epitaxy of ZnO based oxide/oxynitride semiconductors for excitonic transistors(Invited), 5th Asia-Pacific Conference on Plasma Physics, 2021.10.
224. P. Attri,H. Kurita, T. Okumura, K. Koga, M. Shiratani, Effect of plasma treatment on MDM2 and p53 expression in cancer cells, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
225. K. Abe, A.Yamamoto, I.Nagao, M.Otaka, D.Yamashita, K.Kamataki, T.Okumura, N.Itagaki, K.Koga, M.Shiratani, Suppression of nanoparticle growth in TEOS plasma by amplitude modulation discharge method, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
226. K. kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Study on measurement of strength and fluctuation of electrical field using optical trapped particle in Ar plasma, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
227. 中尾匠, 阿南輝樹, 田中颯, 奥村賢直, P. Attri, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治, スケーラブル誘電体バリア放電プラズマで発生した活性種量評価, 第74回電気・情報関係学会九州支部連合大会, 2021.09.
228. 田川雄大, 奥村賢直, 鎌滝晋礼, P. Attri, 古閑一憲, 板垣奈穂, 白谷正治, スケーラブル誘電体バリア放電プラズマの特性評価, 第74回電気・情報関係学会九州支部連合大会, 2021.09.
229. 御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタ法による高移動度アモルファスIn2O3:Sn薄膜の高温成膜, 第82回応用物理学会秋季学術講演会, 2021.09.
230. 高橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, ZnONバッファー層を用いたサファイア基板上への単結晶Zn1-xMgxO薄膜の成長, 第82回応用物理学会秋季学術講演会, 2021.09.
231. 成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣 奈穂, マグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表面モフォロジーに及ぼす基板極性の影響, 第82回応用物理学会秋季学術講演会, 2021.09.
232. S. Okunaga, K. Kamataki, K. Tomita, Y. Pan, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, 2D electric field measurements in Ar plasmas using a fine particle trapped with optical tweezers, Plathinium 2021 , 2021.09.
233. R. Narishige, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of (ZnO)X(InN)1-X films by magnetron sputtering: effects of surface polarity of ZnO substrates, Plathinium 2021 , 2021.09.
234. M. Ideguchi, T. Hasegawa, K. Kamataki, S. Toko, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Relation between CH4 yield and CO emission intensity gradient in plasma-assisted CO2 methanation, Plathinium 2021 , 2021.09.
235. 奥村賢直, アトリ パンカジ, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 白谷正治, 液体クロマトグラフ-トリプル四重極質量分析計を用いたプラズマ照射種子内植物ホルモンの定量分析, 第82回応用物理学会秋季学術講演会, 2021.09.
236. 古閑一憲, 黄成和, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 鷹林将, 呉準席, 中谷達行, 白谷正治, Ar+CH4平行平板型プラズマCVDで作製したカーボンナノ粒子サイズに対するガス圧力の効果, 第82回応用物理学会秋季学術講演会, 2021.09.
237. 鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, Pan Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 振幅変調放電プラズマ中の光捕捉微粒子の挙動に関する研究, 第82回応用物理学会秋季学術講演会, 2021.09.
238. 布村正太, 坂田功, 佐藤愛子, ロザック ミカエ, 三沢達也, 板垣奈穂, 白谷正治, プラズマCVDによるi/n a-Si:H/SiN反射防止パッシベーション膜の特性, 第82回応用物理学会秋季学術講演会, 2021.09.
239. 板垣奈穂, 沈志遠, 御堂雄大, 薮田久人, 非晶質ZnON膜からの固相結晶化ZnO膜の形成とシード層としての効果(招待講演), 第82回応用物理学会秋季学術講演会, 2021.09.
240. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Effects of Carbon Nanoparticles Inserted between Two Diamond Like Carbon Layers Films on Residual Stress of Films Deposited by Plasma Chemical Vapor Deposition, INTERFINISH 2020, 2021.09.
241. K. Koga, S. H. Hwang, T. Okumura, P. Attri, K. Kamataki, N. Itagaki, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani, Production of Hollow Carbon Nanoparticles using Multi-Hollow Discharge Plasma CVD, INTERFINISH 2020, 2021.09.
242. 小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合型プラズマ化学気相堆積法を用いて製膜された水素化アモルファスカーボン膜(a-C:H)の構造解析, 第15回プラズマエレクトロニクスインキュベーションホール, 2021.09.
243. 髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタ法によるサファイア基板上への原子平坦なZnMgO薄膜の作製 , 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
244. 成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, (ZnO)x (InN)1-x 膜のスパッタエピタキシーに及ぼす基板表面極性の影響, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
245. 御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタ法による高移動度アモルファス In2 O3 :Sn 薄膜の作製, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
246. 阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, Particle-In-Cell モンテカルロ衝突モデルによる容量結合型Arプラズマにおける下部バイアス電圧印加シミュレーション, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
247. 阿南輝樹, 田中颯, 山下大輔, 奥村賢直, Pankaj Attri, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享, レタス種子表面状態に対する種子内水分およびプラズマ照射の影響, 令和3年度日本表面真空学会九州支部学術講演会, 2021.06.
248. K. Koga, S. H. Hwang, K. Kamataki, P. Attri, N. Itagaki, M. Shiratani, Structure control ofa:C-H film nanocomposite using a combination of rf sputtering and plasma CVD, Thermec2021, 2021.06.
249. K.Koga‚ S. H. Hwang‚ K. Kamataki‚ N. Itagaki‚ M. Shiratani, High Throughput Deposition of Hydrogenated Amorphous Carbon Films using High-Pressure Ar+CH4 Plasmas, ICMCTF2021, 2021.04.
250. 鎌滝晋礼,奥永冴京, 岩本 亮介, 富田 健太郎, P.Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷 正治, Arプラズマ中の光捕捉微粒子への作用力の研究, 第68回応用物理学会春季学術講演会, 2021.03.
251. 古閑一憲, 黄成和, Y.Hao, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治, プラズマを用いてカーボンナノ粒子層を含むミルフィーユ型 a-C:H 膜の機械的特性, 第68回応用物理学会春季学術講演会, 2021.03.
252. 板垣奈穂, スパッタエピタキシー法を駆使したZnO系新材料の開発(招待講演), 第68回応用物理学会春季学術講演会, 2021.03.
253. 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫, 任意波形励起プラズマ CVD 法による a-C:H 膜質の制御, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
254. 佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子取り込みによる SiNx の低温プラズマ CVD 製膜, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
255. 成重椋太, 金島健太郎, 浦川 聖一, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタリング法による(ZnO)x(InN)1-x膜のエピタキシャル成長:基板の面極性の影響, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
256. 古閑一憲, S. H. Hwang, Y. Hao, P. Attir, 奥村賢直,鎌滝晋礼,板垣奈穂, 白谷正治, プラズマCVD中飛行時間によるカーボンナノ粒子サイズ制御, 日本物理学会第76回年次大会, 2021.03.
257. S. Okunaga, K. Kamataki, K. Tomita, P. Yimin, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Electric Field Measurements in Ar plasmas using a Fine Particle Trapped with Optical Tweezers, ISPlasma2021/IC-PLANTS2021, 2021.03.
258. 奥村 賢直, 阿南 輝樹, 田中 颯, 有田 涼, 山下 大輔, アトリ パンカジ, 鎌滝 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 藤本 祉史, 熊内 雅人, 松井 英享, 石橋 勇志, 調湿レタス種子の発芽に及ぼす大気圧プラズマ照射の影響, SPP-38/SPSM33, 2021.01.
259. S. Urakawa, K. Kaneshima, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. shiratani, N. Itagaki, Sputter deposition of ZnAlO films with tunable bandgaps from 3.4 to 6.1 eV, ICMAP 2020 & ISFM 2020, 2021.01.
260. K. Kaneshima, S. Urakawa, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Growth of high-quality (ZnO)x(InN)1-x films by RF magnetron sputtering using a two-step growth process, ICMAP 2020 & ISFM 2020, 2021.01.
261. Y. Nakamura, M. Kikuchi, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagak, Impact of surface morphologies of 3D island layers on the single crystal growth of magnetron sputtered ZnO films, ICMAP 2020 & ISFM 2020, 2021.01.
262. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Structural and Optical Properties of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, ICMAP 2020 & ISFM 2020, 2021.01.
263. Y. Mido, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen mediate amorphization method: Effects of nitrogen flow rate, ICMAP 2020 & ISFM 2020, 2021.01.
264. R. Narishige, K. Kaneshima, S. Urakawa, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki , Effects of surface polarity of ZnO substrates on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films, ICMAP 2020 & ISFM 2020, 2021.01.
265. 板垣奈穂, スパッタエピタキシーによる新規酸窒化物半導体材料の作製とエキシトンデバイスへの応用(招待講演), 第134回フロンティア材料研究所講演会, 2021.01.
266. Y. Sasaki, T. Yoshida, K. Abe, K. Yamamoto, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of SiO2 films using capacitively coupled TEOS plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
267. K. Kamataki, T. Yoshida, Y. Sasaki, K. Abe, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Film Deposition Process using Spatial Profiles of Plasma Parameters and Nanoparticles in Reactive Plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
268. 陳飛宇, 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ITO薄膜のスパッタ製膜プロセスへの機械学習の適用 , 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
269. 長谷川大樹, 出口雅志, 都甲将, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合プラズマ触媒法によるサバティエ反応:圧力依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
270. 佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合プラズマCVD法によるSiO2膜の低温製膜, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
271. 山本晃大, 吉田智晃, 阿部滉平, 佐々木勇輔, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, TEOSプラズマCVDを用いたSiO2膜の形成:基板バイアス電圧依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
272. 吉田知晃, 阿部滉平, 佐々木勇輔, 山本晃大, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD におけるナノ粒子成長とプラズマ生成の関係, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
273. 郝源, 𠮷川大智, 黄成和, 古閑一憲, 白谷正治, 鎌滝晋礼, 板垣奈穂, 奥村賢直, Ar+CH4 マルチホロー放電プラズマCVDで作製したカーボンナノ粒子のフラックスに対する熱泳動力の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
274. 松本翔剛, 髙橋大智, 中村優太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2スパッタリングによるサファイア基板上へのZnO単結晶成長:O-poorバッファー層の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
275. 寺澤寛, 金島健太郎, 成重椋太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシー法による(ZnO)X(InN)1-X膜の作製: 高温バッファー層の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
276. 陶陽, 岩本亮介, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, CCPにおける径方向電場Eyの計測の試み, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
277. 奥永 冴京, 鎌滝 晋礼, 富田 健太郎, Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治,, アルゴンプラズマ中光捕捉微粒子への作用力の校正, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
278. 阿南輝樹, 田中颯, 有田涼, 山下大輔, 奥村賢直, アトリ パンカジ, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享, レタス種子の発芽特性に及ぼす調湿・プラズマ照射時間依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
279. 阿部滉平, 陶陽, 岩本亮介, 佐々木勇輔, 吉田知晃, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, PIC-MCCMを用いたCCPにおけるIEDに関する研究, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
280. P. Attri, K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, Impact of Plasma Treatment on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2), 第30回日本MRS年次大会, 2020.12.
281. T. Okumura, H. Tanaka, R. Arita, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth of Rice Cultivated in Field from Plasma-irradiated Seeds and Its Health Assessment for rats, 第30回日本MRS年次大会, 2020.12.
282. K. Koga, P. Attri, T. Anan, R. Arita, H. Tanaka, T. Okumura, D. Yamashita, K. Matsuo, K. Kanataki, N. Itagaki, M. Shiratani, Y. Ishibashi, Impact of Plasma Treatment Time on the Barley Seeds using Electron Paramagnetic Resonance, 第30回日本MRS年次大会, 2020.12.
283. H. Tanaka, R. Kogawa, Y. Oba, M. Fujita, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kamataki, N. Itagaki, Nitric Acid Generation by Pulsed Needle-water Discharge Plasma, 第30回日本MRS年次大会, 2020.12.
284. 出口雅志, 山下大輔, 都甲将, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ触媒法を用いたCO2のCH4化反応におけるプラズマ発光強度の空間分布, 第37回 プラズマ・核融合学会 年会, 2020.12.
285. Y. Midou, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
286. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita,T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Bandgap Tuning of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
287. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki,T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of substrate surface polarity on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-xfilms, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
288. T. E. Putri, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Concave Concentrator and Plane Reflector Mirror to Increase Efficiency of Bifacial Dye-Sensitized Solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
289. 出口雅志,長谷川 大樹,山下 大輔,鎌滝 晋礼,板垣 奈穂,古閑 一憲,白谷 正治,都甲 将,寒川 誠二, プラズマ触媒メタン化におけるメタン収率とプラズマ発光強度空間分布の関係, 2020年(令和2年度 )応用物理学会九州支部学術講演会, 2020.11.
290. N. Itagaki, Sputter epitaxy through “inverse” SK mode for a new class of excitonic devices (Invited), 4th Asia Pacific Conference on Plasma Physics, 2020.10.
291. K. Kamataki, S. Okunaga, K. Tomita, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Information on electric field deduced using a fine particle trapped with laser tweezers in Ar plasma, The 73rd Annual Gaseous Electronics Conference, 2020.10.
292. K. Koga, P. Attri, K. Ishikawa, T. Okumura, K. Matsuo, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Role of seed coat color and harvest year on growth enhancement by plasma irradiation to seeds, The 73rd Annual Gaseous Electronics Conference, 2020.10.
293. 古閑一憲, S. H. Hwang, 奥村賢直, Y. Hao, 山下大輔, 松尾かよ, 板垣奈穂, 鎌滝晋礼, 白谷正治, マルチホロー放電プラズマ CVDを用いて作製したカーボンナノ粒子輸送量に対する電極基板間距離の効果, 2020年度(第73回)電気・情報関係学会九州支部連合大会, 2020.09.
294. 古閑一憲, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 白谷正治, 谷口雄太, 池田大輔, プラズマCVD技術文献のテキストマイニングを用いた単語のインパクトの解析, 第81回応用物理学会秋季学術講演会, 2020.09.
295. 鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平, 岩本亮介, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 低温環境下におけるプラズマCVDによる高品質保護膜の作製, 日本物理学会 2020年秋季大会, 2020.09.
296. 古閑一憲, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治, プラズマCVDを用いたa-Si:H堆積薄膜中のSi-H/Si-H2結合形成の活性化エネルギー, 日本物理学会 2020年秋季大会, 2020.09.
297. Y. Hao, S. H. Hwang, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 中谷達行, 白谷正治, メタンプラズマCVDを用いたホローカーボンナノ粒子のワンステップ作製, 第81回応用物理学会秋季学術講演会, 2020.09.
298. 奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, PanYiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたアルゴンプラズマの等電場面計測, 第81回応用物理学会秋季学術講演会, 2020.09.
299. 奥村 賢直, 石橋 勇志, C. Suriyasak, 田中 颯, 佐藤 僚哉, 有田 涼, 廣松 真弥, 古閑 一憲, P. Attri, 松尾 かよ, 山下 大輔, 板垣 奈穂, 鎌滝 晋礼,白谷 正治, 高温障害を持つイネ種子の発芽特性へ及ぼす誘電体バリア放電プラズマ照射の影響, 第81回応用物理学会秋季学術講演会, 2020.09.
300. N. Itagaki, A novel semiconductor ZION for excitonic devices (Invited), Satellite meeting of AAPPS-DPP2020:Workshop on cutting-edge of plasma applications, 2020.08.
301. 古閑一憲, 原尚志, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマと薄膜のナノ界面相互作用による結合形成の活性化エネルギー評価, 日本物理学会第75回年次大会(2020年), 2020.03.
302. 鎌滝晋礼, 吉田知晃, 阿部滉平, 佐々木勇輔, 永石翔大, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 低温成膜における反応性プラズマ中のナノ粒子制御, 日本物理学会第75回年次大会(2020年), 2020.03.
303. 鎌滝晋礼, 奥永冴京, 岩本亮介, 富田健太郎, 山下⼤輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによるプラズマ中捕捉微粒⼦の揺動スペクトル解析, 第67回応用物理学会春季学術講演会, 2020.03.
304. 古閑⼀憲, 石橋勇志, S. Chetphilin, 田中颯, 佐藤僚哉, 有田涼, 廣松真弥, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治, ⾼温障害を持つイネ種⼦の発芽特性に対するプラズマ照射の効果, 第67回応用物理学会春季学術講演会, 2020.03.
305. 石川健治, P. Attri, 奥村賢直, 古閑⼀憲, 有田涼, 佐藤僚哉, 田中颯, 廣松真弥, 松尾かよ, 山下大輔, 鎌滝晋礼, 板垣奈穂, 堀勝, 白谷正治, プラズマ照射したカイワレ種⼦の吸⽔の時間推移評価, 第67回応用物理学会春季学術講演会, 2020.03.
306. 古閑⼀憲, 黄成和, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治, ⾮平衡プラズマを⽤いたサイズ制御したカーボンナノ粒⼦の連続作 製と堆積, 第67回応用物理学会春季学術講演会, 2020.03.
307. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of substrates on the epitaxial growth of (ZnO)x(InN)1-x films , ISPlasma2020/IC-PLANTS2020, 2020.03.
308. K. Kamataki, Y. Sasaki, S. Nagaishi, T. Yoshida, K. Abe, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of passivation films by plasma enhanced CVD, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
309. F. L. Chawarambwa, T. E. Putri, Y. Hao, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si3N4/Carbon based PEDOT:PSS Counter Electrode for low-cost Dye-Sensitized Solar Cells, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
310. T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
311. M. Shiratani, M. Ideguchi, A. Yamamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Methane production for energy storage using low temperature plasma (Invited), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
312. 有田涼, 田中颯, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治, プラズマ照射したカイワレダイコン種子の発芽促進機構-吸水時の種子内ラジカル動態-, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
313. 吉田知晃, 阿部滉平, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, TEOS プラズマ CVD による SiO2製膜と膜質の温度勾配依存性, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
314. 阿部滉平, 吉田知晃, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 反応性プラズマのAM変調における発光強度分布, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
315. 奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる微粒子トラップを用いたアルゴンプラズマ電場の精密測定, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
316. 出口雅志, 山本瑛久, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, パルス変調プラズマ触媒法による二酸化炭素のメタン化, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
317. 廣松真弥, 有田涼, 田中颯, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治, レタス幼苗重量分布に対する種子エイジングとプラズマ照射の効果, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
318. 田中颯, 有田涼, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治 , イネ種子に対するプラズマ照射効果のフィールドテスト, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
319. M. Shiratani, R. Iwamoto, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, Measurements of Electrostatic Potential in RF Ar Plasma Using an Optically Trapped Fine Particle, 20th Workshop on Fine Particle Plasmas, 2019.12.
320. R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Tuning Parameters of Indium Tin Oxide Sputter Processing via Bayesian Optimization, 20th Workshop on Fine Particle Plasmas, 2019.12.
321. S. Nagaishi, Y. Sasaki, K. Kamataki, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of high nitriding and low hydrogen content SiN films at 100°C by controlling cluster formation in plasma, 20th Workshop on Fine Particle Plasmas, 2019.12.
322. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
323. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, ZnO Based Semiconductors for Excitonic Devices (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
324. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pulse Bias Voltage on Characteristics of a-C:H Film Deposited by High Pressure Ar+CH4 Plasma CVD Process, Materials Research Meeting 2019 (MRM2019), 2019.12.
325. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of higher-order silane molecules on Si-H2 bond and Si-H bond densities in a-Si:H films, Materials Research Meeting 2019 (MRM2019), 2019.12.
326. K. Kamataki, R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Amorphization Condition Models from Sputter Deposition Experimental Results by Machine Learning Method, Materials Research Meeting 2019 (MRM2019), 2019.12.
327. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Impact of Plasma Fluctuation Driven by Amplitude Modulated VHF Discharge on Growth of Nanoparticles in Reactive Plasma (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
328. 古閑一憲, 佐藤僚哉, 吉田知晃, 有田涼, 田中颯, 廣松真弥, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ照射した種籾の圃場栽培試験, 第36回プラズマ・核融合学会年会, 2019.12.
329. 山本瑛久, 出口雅史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 低温低圧プラズマと触媒を用いた二酸化炭素のメタン化率とCO発光強度の相関, 第36回プラズマ・核融合学会年会, 2019.12.
330. 岩本亮介, 鎌滝晋礼, 村岡宗一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, ベイズ的最適化による高移動度アモルファスITO/In2O3成膜条件の探索, 第36回プラズマ・核融合学会年会, 2019.12.
331. 原尚志, HAO Yuan, 阿部滉平, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いて高ガス流速で製膜することによるa-Si:H膜の面内に局所的に存在する高密度Si-H2結合の抑制, 第36回プラズマ・核融合学会年会, 2019.11.
332. 金島健太郎, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, (ZnO)x(InN)1-x膜のスパッタエピタキシーにおける基板温度の影響, 第36回プラズマ・核融合学会年会, 2019.11.
333. 中村優太, 村岡宗一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板上への単結晶ZnO膜の成長, 第36回プラズマ・核融合学会年会, 2019.11.
334. 永石翔大, 佐々木勇輔, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 気相中のクラスター生成制御による低温低水素SiN膜の作製, 第36回プラズマ・核融合学会年会, 2019.11.
335. S. Urakawa, K. Kaneshima, D. Yamashita, K. Kamataki, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide-gap amorphous ZnAlON
films with tunable bandgaps from 3.4 to 4.2 eV, 第36回プラズマ・核融合学会年会, 2019.11.
336. 村岡宗一郎, 山下大輔, 鎌滝普礼, 古閑一憲, 白谷正治, 板垣奈穂, 不純物添加アモルファス化法による低抵抗アモルファスITO膜の作製: 基板温度の影響, 第36回プラズマ・核融合学会年会, 2019.11.
337. 鎌滝晋礼, 永石翔大, 佐々木勇輔, 原尚志, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子生成制御(招待講演), 第36回プラズマ・核融合学会年会, 2019.11.
338. F. L. Chawarambwa, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fabrication of dye sensitized solar cells with up and down conversion nano-particles, 29th Annual Meeting of MRS-J, 2019.11.
339. R. Sato, M. Hiromatsu, K. Matsuo, T. Yoshida, R. Arita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Irradiation to Seeds of Lactuca Sativa on Histogram of Fresh Weight of Their Seedling, 29th Annual Meeting of MRS-J, 2019.11.
340. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate position dependence of characteristics of a-C:H films fabricated by Ar+CH4 plasma, The 4th Asian Applied Physics Conference, 2019.11.
341. R. Narishige, N. Miyahara, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x films for Excitonic Transistors, The 4th Asian Applied Physics Conference, 2019.11.
342. K. Kaneshima, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate temperature on Crystal Quality of (ZnO)x(InN)1-x Films Fabricated by Sputter Epitaxy, The 4th Asian Applied Physics Conference, 2019.11.
343. Y. Nakamura, S. Muraoka, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Surface morphology of single-crystal ZnO films on sapphire substrates fabricated by sputter epitaxy *Yuta Nakamura, Soichiro Muraoka, Kunihiro K, The 4th Asian Applied Physics Conference, 2019.11.
344. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of amorphous ZnAlON films with tunable bandgap, The 4th Asian Applied Physics Conference, 2019.11.
345. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD , 41st International Symposium on Dry Process (DPS2019), 2019.11.
346. S. Muraoka, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, 41st International Symposium on Dry Process (DPS2019), 2019.11.
347. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of High Nitriding Degree of SiN films by Multi-Hollow Discharge SiH4+N2 Plasma CVD, 41st International Symposium on Dry Process (DPS2019), 2019.11.
348. M. Shiratani, M. Soejima, H. Seo, N. Itagaki, K. Koga, Fluctuation of Position and Energy of a Fine Particle in Plasma Nanofabrication, 第35回九州・山口プラズマ研究会, 2019.11.
349. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Precision control of nanoparticle property in reactive plasma ~ Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD ~, 第35回九州・山口プラズマ研究会, 2019.11.
350. Y. Hao, H. Hara, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pressure on Characteristics of a-Si:H Films Desosited using Multi-Hollow Discharge Plasma CVD, 3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019), 2019.11.
351. K. Tomita, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Development of a Sensitive Electric Field Probe in Ar plasmas using Optically Trapped Fine Particles, 72nd Gaseous Electronics Conference, 2019.10.
352. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Discharge Power on Deposition Cutoff of Carbon Nanoparticles Synthesized by High Pressure Ar+CH4 Multi-hollow Discharge Plasma CVD, 72nd Gaseous Electronics Conference, 2019.10.
353. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Spatial inhomogeneous distribution of high Si-H2 bond density in a-Si:H films deposited by MHPCVD, 72nd Gaseous Electronics Conference, 2019.10.
354. K. Koga, A. Yamamoto, K. Kamataki, N. Itagaki, M. Shiratani, Rate Limiting Factors of Low Pressure Plasma-catalytic CO2 Methanation Process, AVS 66th International Symposium & Exhibition, 2019.10.
355. 古閑一憲, Sung Hwa Hwang, 鎌滝晋礼, 板垣奈穂, 白谷正治, Ar+CH4プラズマCVDを用いて堆積した水素化アモルファスカーボン薄膜の堆積特性に対する電極基板間距離依存性, 2019年度(第72回)電気・情報関係学会九州支部連合大会, 2019.09.
356. 吉田 知晃, 佐藤 僚哉, 山下 大輔, 鎌瀧 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 吸水したカイワレダイコン種子内ラジカル計測, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
357. 鎌滝 晋礼, 田中 颯, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, AM変調反応性プラズマにおけるナノ粒子成長揺動に関する時空間情報解析, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
358. K. Kamataki, R. Iwamoto, S. Okunaga, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Developing Prediction of Key Plasma Processing Parameter from Small Data of Experimental Results by Machine Learning Method, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
359. M. Shiratani, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, Ultra-sensitive Plasma Potential Measurements by using an Optically Trapped Fine Particle, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
360. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Spatiotemporal Structure of Fluctuation Related with Nanoparticle Growth in Amplitude-Modulated VHF Discharge Reactive Plasma, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
361. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, High Rate Deposition of Carbon Films Using High Pressure CH4 Plasma CVD, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
362. S. Nagaishi, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster incorporation in SiN films, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
363. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, M. Shiratani, Synthesis and deposition of a-C:H nanoparticles using reactive plasmas with a fast gas flow, The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
364. Y. Sasaki, S. Nagaishi, H. Hara, S. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of Low Hydrogen Content SiN films by Multi Hollow Discharge SiH4+N2 Plasma CVD , The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
365. M. Shiratani, K. Kamataki, K. Koga, N. Itagaki , Advanced Methods of Thin Film Fabrication using Plasmas (Invited), 28th International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS28), 2019.08.
366. N. Itagaki, Inverse Stranski-Krastanov growth: a method for growth of single crystalline films beyond lattice-matching condition (Invited), Satellite Workshop of XXXIV ICPIG & ICRP-10 "New trends of plasma processes for thin films and related materials for the deep discussion on new trends of plasma processes", 2019.07.
367. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Influence of Seed Coat Color on Reactive Species in Plasma Irradiated Seeds of Radish Sprouts, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
368. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide bandgap (ZnO)x(AlN)1-x alloys: a new material system with tunable bandgap, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
369. R. Iwamoto, K. Kamataki, S. Muraoka, N. Miyahara, D. Yamashita, D. Ikeda, K. Koga, N. Itagaki, M. Shiratani, Prediction of Sputtering Conditions for Amorphous and Low Resistive ITO/In2O3 Films via Machine Learning, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
370. N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x Films on Sapphire Substrates, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
371. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
372. H. Hara, S. Nagaishi, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas velocity dependence of deposition rate and Si-H2 bond density of a-Si:H films deposited by multi-hollow discharge plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
373. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, T. Nakatani, Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
374. M. Shiratani, K. Tomita, H. Ohtomo, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Position fluctuation of a fine particle trapped optically in Ar plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
375. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Relationship between Growth of Nanoparticles and Plasma Fluctuation due to Amplitude Modulated Discharge Voltage in Capacitively Coupled Reactive Plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
376. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki M. Shiratani, Growth Mechanism of Carbon Nanoparticles In Multi-Hollow Discharge Plasma Chemical Vapor Deposition (Invited), XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
377. 永石翔大, 佐々木勇輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法による高品質SiN膜の低温(100度)形成, 令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019), 2019.06.
378. 浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリングによる可変バンドギャップ半導体(ZnO)x(AlN)1-xの創成, 令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019), 2019.06.
379. K. Koga, S. H. Hwang, T. Nakatani, J. S. Oh, K. Kamataki, N. Itagaki, M.Shiratani, Deposition of Carbon Nanoparticles Using Multi-Hollow Discharge Plasma CVD for Synthesis of Carbon Nanoparticle Composite Films, 46th International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2019), 2019.05.
380. 白谷正治, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲, プラズマ中の光捕捉微粒子に働く力の揺らぎ検出, 日本物理学会第74回年次大会(2019年), 2019.03.
381. 鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子成長における変調レベル依存性, 日本物理学会第74回年次大会(2019年), 2019.03.
382. 古閑一憲, 大友洋, 真銅雅子, 鎌滝晋礼, 板垣奈穂, 白谷正治, 微粒子プラズマにおける2体衝突運動の顕微高速観察, 日本物理学会第74回年次大会(2019年), 2019.03.
383. 宮原奈乃華, 浦川聖市, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板上(ZnO)x(InN)1-x薄膜の2段階成長, 第66回応用物理学会春季学術講演会, 2019.03.
384. 田中和真, 石榴, 原尚志, 永石翔大, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 高ガス流速下におけるシランプラズマ中で発生した粒子の堆積とその膜質への影響, 第66回応用物理学会春季学術講演会, 2019.03.
385. 鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, プラズマに関する学生実験が受講者の動機付けに与える影響, 第66回応用物理学会春季学術講演会, 2019.03.
386. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method, 第66回応用物理学会春季学術講演会, 2019.03.
387. Chawarambwa Fadzai, 張博辰, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 徐鉉雄, ダウン/アップコンバージョンナノ粒子を用いた色素増感太陽電池の特性改善, 第66回応用物理学会春季学術講演会, 2019.03.
388. 鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおける相互作用揺らぎの時空間構造の周波数依存性, 第66回応用物理学会春季学術講演会, 2019.03.
389. 富田健太郎, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Arプラズマ中の光捕捉微粒子を用いたシース近傍電界計測法の開発, 第66回応用物理学会春季学術講演会, 2019.03.
390. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation Amount and Film Composition of SiN Films Fabricated by Multi-Hollow Discharge Plasma CVD Method, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
391. H. Hara, K. Tanaka, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of clusters and higher-order silanes (HOSs) on surface roughness and Si-H2 bond density in a-Si:H films deposited by SiH4 plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
392. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursor on FWHM into a-Si:H thin films deposited by plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
393. B. Zhang, F. L. chawarambwa, H. Seo, N. Itagaki, K. Koga, M. Shiratani, High transparency polymer counter electrode for bifacial dye sensitized solar cells, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
394. M. Shiratani, K. Kamataki, N. Itagaki, K. Koga, Ambipolar charging due to plasma irradiation: from nanoparticles to proteins (Invited), 19th Workshop on Fine Particle Plasmas, 2018.12.
395. H. Ohtomo, T. Chimura, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on Spatial Distribution of Plasma Fluctuation Using Optically Trapped Fine Particle in Ar Plasma, 19th Workshop on Fine Particle Plasmas, 2018.12.
396. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Growth of Nano-Particles in Reactive Plasma using Amplitude Modulated rf Discharge Voltage, 19th Workshop on Fine Particle Plasmas, 2018.12.
397. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of ZnO Based Compounds for Excitonic Devices, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
398. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Rate and Cluster Incorporation Amount of SiN Films Fabricated by a Multi-Hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
399. K. Imoto, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization: effects of target-substrate distance, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
400. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size and Structure Control of Carbon Nano-particles Synthesized by Multi-hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
401. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster-eliminating filter and gas velocity on SiH2 bond formation in a-Si:H films deposited by MHDPCVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
402. K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursors on Raman intensity ITA/ITO of a-Si:H thin films fabricated by plasma CVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
403. Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2, O2 gas composition ratio and humidity in plasma on plasma induced plant growth enhancement, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
404. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of compound semiconductors via inverse Stranski-Krastanov mode: A method of single crystalline film growth beyond lattice matchins condition, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
405. 佐々木勇輔, 永石翔大, 田中和真, 原尚志, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+N2 マルチホロー放電プラズマ CVD を用い製膜した SiNx 薄膜のクラスター混入と膜質
の関係, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
406. 浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタによる擬2元系混晶(ZnO)x(InN,AlN)1-xのヘテロエピタキシー, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
407. 山本瑛久, 谷田知史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合放電プラズマを用いた炭酸ガスのメタンへの改質, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
408. 岩本亮介, 鎌滝晋礼, 田中和真, 原尚志, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, Si 薄膜プラズマ CVD における成膜条件と膜質の相関の機械学習解析, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
409. 千村智, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中光捕捉微粒子の位置揺らぎに関する研究, プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会, 2018.12.
410. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Bias Voltage on Deposition of Carbon Nano Particles Synthesized by Ar + CH4 Multi-Hollow Discharge Plasma , The 3rd Asian Applied Physics Conference, 2018.12.
411. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Gas velocity dependence of Si-H2 bond density and surface roughness of a-Si:H films deposited by multi-hollow plasma CVD, The 3rd Asian Applied Physics Conference, 2018.12.
412. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M, Shiratani, Time evolution of amount of cluster incorporation into SiNx films and electron temperature of CVD Plasma, The 3rd Asian Applied Physics Conference, 2018.12.
413. K. Koga, Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, T. Kawasaki , Spatial Profile of RONS Dose Supplied by a Scalable DBD Device, The 3rd Asian Applied Physics Conference, 2018.12.
414. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Fluctuation Frequency on Growth of Nanopariticles in Reactive Plasmas, The 3rd Asian Applied Physics Conference, 2018.12.
415. R. Iwamoto, K. Kamataki, K. Tanaka, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Applying Multivariate Analysis to a-Si:H Deposition Plasma Process Data, The 3rd Asian Applied Physics Conference, 2018.12.
416. 鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるプラズマ揺らぎとラジカルとナノ粒子成長の関係, 第35回プラズマ・核融合学会年会, 2018.12.
417. 古閑一憲, 嶋田凌太郎, 和田陽介, 佐藤僚哉, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, Vida Mildaziene, カイワレ大根種皮の色素に対するプラズマ照射の効果, 第35回プラズマ・核融合学会年会, 2018.12.
418. 佐藤僚哉, 和田陽介, 嶋田凌太郎, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 大気圧プラズマ照射したイネの成長に対する浸水処理日数依存性, 第35回プラズマ・核融合学会年会, 2018.12.
419. 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Arプラズマ中微粒子の相互作用の評価, 第35回プラズマ・核融合学会年会, 2018.12.
420. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Kinetics of Carbon Nano Particles Generated in an Ar/CH4 Multi-Hollow Discharge Plasmas, 第35回プラズマ・核融合学会年会, 2018.12.
421. 原尚志, 田中和真, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 高速ガス流マルチホロー放電プラズマの発光分光計測, 第35回プラズマ・核融合学会年会, 2018.12.
422. 周靭, 鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中のナノ粒子量揺らぎの構造解析, 第35回プラズマ・核融合学会年会, 2018.12.
423. 井本幸希, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2スパッタリングによる低抵抗アモルファスITO膜の作製:ターゲット-基板間距離の影響, 第35回プラズマ・核融合学会年会, 2018.12.
424. 村岡宗一郎, 呂佳豪, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタ法を用いたSi(111)基板上でのZnO結晶成長における窒素不純物の効果, 第35回プラズマ・核融合学会年会, 2018.12.
425. 呂佳豪, 山下大輔, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法による格子不整合基板上へZnOエピタキシャル成長—窒素酸素共添加多段バッファー層の効果—, 第35回プラズマ・核融合学会年会, 2018.12.
426. 永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVDによるSiN製膜時のクラスターの混入が膜物性へ与える影響, 第35回プラズマ・核融合学会年会, 2018.12.
427. 田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVD法で作製したa-Si:H薄膜のSiネットワーク秩序性評価, 第35回プラズマ・核融合学会年会, 2018.12.
428. 宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂, フラックス制御スパッタによるIn-rich (ZnO)x(InN)1-x膜のヘテロエピタキシー, 第35回プラズマ・核融合学会年会, 2018.12.
429. 石榴, 田中和真, 原尚志, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 顕微FTIRを用いたプラズマCVD Si薄膜の結合状態の二次元分布評価, 第35回プラズマ・核融合学会年会, 2018.12.
430. N. Itagki, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy via Inverse Stranski-Krastanov Growth Mode: A Method of Single Crystal Growth beyond Lattice Matching Condition, AVS Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2018), 2018.12.
431. N. Miyahara, D. Yamashita, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Catalyst-free growth of c-axis aligned (ZnO)0.79(InN)0.21 nanorods by RF magnetron sputtering, 2018 MRS Fall Meeting & Exhibit, 2018.11.
432. S. Muraoka, J. Lyu, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of nitrogen impurity on sputtering growth of ZnO films on Si(111) substrates, 2018 MRS Fall Meeting & Exhibit, 2018.11.
433. K. Koga, Y. Wada, R. Sato, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of Amount of RONS Transport and Absorption of Seeds, 2018 MRS Fall Meeting & Exhibit, 2018.11.
434. 鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるプラズマ揺らぎの時空間構造, 第34回九州・山口プラズマ研究会, 2018.11.
435. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Motion analysis of inter-particle interactions of three fine particles in Ar plasma, 40th International Symposium on Dry Process (DPS2018), 2018.11.
436. K. Imoto, N. Miyahara, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance, 40th International Symposium on Dry Process (DPS2018), 2018.11.
437. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Pressure on Size of Carbon Nanoparticles Prepared by Methane Plasma Process, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
438. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma
, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
439. J. Lyu, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Sputter epitaxy of single crystalline ZnO on 18%-lattice-mismatched sapphire using multi buffer layers fabricated via nitrogen mediated crystallization , 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
440. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, S. Nakano, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Suppression of HOS molecules incorporation in a-Si:H films fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
441. L. Shi, K. Tanaka, H. Hara, S. Nakano, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Spatial distribution of SiH2/SiH bond density ratio in a-Si:H solar cells fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
442. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
443. N. Itagki, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter epitaxy of high quality (ZnO)x(InN)1-x: a new semiconducting material for excitonic devices (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
444. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of Amplitude Modulation of RF Discharge Voltage on the Spatial Profile of Nanoparticle Characteristics in Reactive Plasma (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
445. 永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+N2マルチホロー放電プラズマCVDによる
SiN製膜時の膜へのクラスターの取り込み, 放電/プラズマ・パルスパワー合同研究会, 2018.10.
446. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, T. Nakatani, M. Shiratani, Synthesis of Hydrogenated Amorphous Carbon Nanoparticles using High-Pressure CH4+Ar Plasmas and Their Deposition, AVS 65th International Symposium & Exhibition, 2018.10.
447. 宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板直上へのIn-rich (ZnO)x(InN)1-x 膜の作製, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
448. 古閑一憲, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ中二体微粒子の衝突解析による相互作用揺らぎの研究, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
449. 古閑一憲, 田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, Siネットワーク秩序性に対する製膜前駆体の効果, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
450. 鎌滝晋礼, 和田陽介, 嶋田凌太郎, 佐藤僚哉, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, Vida Mildaziene, 大気圧誘電体バリア放電プラズマ照射に対する種皮の機能大気圧誘電体バリア放電プラズマ照射に対する種皮の機能, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
451. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, CH4+Arマルチホロー放電プラズマで生成したカーボンナノ粒子の基板堆積に対するガス流量の影響, 2018年第79回応用物理学会秋季学術講演会, 2018.09.
452. K. Koga, K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Effects of cluster deposition on spatial profile of Si-Hx bond density in a-Si:H films, 2018 International Conference on Solid State Devices and Materials (SSDM2018), 2018.09.
453. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of CH4 Concentration on Size of Carbon Nano-Particles Formed in Multi-hollow Discharge Plasma, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
454. S. Nakano, K. Tanaka, H. Hara, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Improvement of Si network order of a-Si:H thin films by suppressing incorporation of HOS molecules, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
455. N. Miyahara, M. Shiratani, N. Itagaki, Photoluminescence of (ZnO)0.92(InN)0.08 films -Fabrication templature dependence-, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
456. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Interactions of Two Fine Particles in Ar Plasma, JP-KO dust workshop 2018, 2018.07.
457. M. Shiratani, R. Zhou, H. Ohtomo, N. Itagaki, K. Koga, Density modulation of nanoparticles in amplitude modulated discharge plasmas, 24th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG 2018), 2018.07.
458. N. Miyahara, L. Shi, K. Iwasaki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room Temperature Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated by RF magnetron sputtering, 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
459. N. Itagaki, M. Shiratani, Inverse Stranski-Krastanov Growth of Single Crystalline Films: A New Mode of Heteroepitaxy for Large Lattice Mismatched System (Invited), 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
460. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of gas velocity dependence of Si-H2 bond density at P/I interface of a-Si:H layered films deposited by multi-hollow discharge plasma CVD., Workshop "Plasma surface interaction for technological applications" , 2018.06.
461. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 28th Symposium on Plasma Physics and Technology (SPPT), 2018.06.
462. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, High energy leverage method on growth enhancement of bio-mass plants using plasma seed treatment, 7th International Conference on Plasma Medicine (ICPM-7), 2018.06.
463. 田中和真, 原尚志, 石榴, 永石翔大, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, a‐Si:H 薄膜への高次シラン取り込みとSiネットワーク秩序性の関係, 平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会), 2018.06.
464. 石榴, 田中和真, 原尚志, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVD法で作製したa-Si:H 膜のSiH2/SiH 結合密度比の2次元分布, 平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会), 2018.06.
465. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, A deep insight of plasma-nanoparticle interaction, 19th International Congress on Plasma Physics, 2018.06.
466. 宮原奈乃華, 岩崎和也, 石榴, 山下大輔, 中村大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーにより作製した(ZnO)0.73(InN)0.27のフォトルミネッセンス, 第65回応用物理学会春季学術講演会, 2018.03.
467. 古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 水素原子源付プラズマCVD法に任意電圧波形を併用したa-C:H薄膜の堆積, 第65回応用物理学会春季学術講演会, 2018.03.
468. 古閑一憲, 和田陽介, 佐藤僚哉, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 大気圧空気誘電体バリア放電プラズマを照射したカイワレ種子の電子スピン共鳴分光, 第65回応用物理学会春季学術講演会, 2018.03.
469. 板垣奈穂, 岩崎和也, 古閑一憲, 白谷正治, 格子整合条件を超えて原子平坦表面を実現する新規スパッタエピタキシー技術の開発~ZnO on sapphireを例に~(招待講演), 第65回応用物理学会春季学術講演会, 2018.03.
470. 白谷正治, 方トウジュン, 山木健司, 徐鉉雄, 板垣奈穂, 古閑一憲, カーボン薄膜の選択プラズマCVD, 平成30年電気学会全国大会, 2018.03.
471. 古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 任意電圧波形を用いたC7H8+Ar+H2プラズマ生成, 平成30年電気学会全国大会, 2018.03.
472. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Number Density of Seeds on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
473. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of RONS Dose on Plasma Induced Growth Enhancement of Radish Sprout, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
474. K. Yamaki, T. Fang, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, A tailored voltage waveform plasma CVD method for carbon film deposition, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
475. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Coupling of Interaction Fluctuation between Reactive Plasmas and Nanoparticles, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
476. M. Shiratani, H. Seo, N. Itagaki, K. Koga, IoT-oriented solar cells fabricated using plasma-based nanotechnology (Invited), 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
477. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Thin film deposition using low temperature plasmas: past, present, and future (Plenary), 4th International Workshop on Advanced Plasma Technology and Applications (The 4th IWAPTA workshop), 2018.02.
478. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, K. Takenaka, Y. Setsuhara, Plasma CVD of a-C:H films as protective layers for solar cells (Invited), 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
479. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Plasma Enhanced Carbon Recycling for Large-Scale Introduction of Solar Cells to Energy Supply Chain, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
480. H. Seo, D. Sakamoto, B. Zhang, N. Itagaki, K. Koga, M. Shiratani, Strategy for the commercialization of dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
481. B. Zhang, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of additive on catalytic enhancement of polymer counter electrode in dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
482. D. Sakamoto, B. Zhang, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on photovoltaic performance of dye-sensitized solar cells by applying up-conversion Y2O3:Er3 + nanoparticles, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
483. K. Tanaka, H. Hara, S. Toko, T. Kojima, S. Nagaishi, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
484. N. Itagaki, Excitonic devices for on-chip optical interconnects, Joint workshop btw SKKU and Kyushu University Emerging materials and devices, 2018.01.
485. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, A new insight into nanoparticle-plasma interactions (Invited), JP-KO dust workshop, 2017.12.
486. 大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Ar プラズマ中で光捕捉した微粒子を用いたプラズマ揺動の評価, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
487. 田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD で作製した a-Si:H 薄膜の Si ネットワーク秩序性向上, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
488. 村岡宗一郎, 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2 スパッタリングによる高品質 ZnO 膜の作製:基板温度の影響, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
489. 永石翔大, 小島尚, 田中和真, 原尚志, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+N2マルチホロー放電プラズマ CVD による SiN 系膜の作製, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
490. 竹内一登, 宮原奈乃華, 石榴, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによる高品質(ZnO)0.8(InN)0.2薄膜の作製:スパッタリングガス圧力の影響, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
491. 原尚志, 田中和真, 小島尚, 永石翔大, 都甲将, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD で作製した a-Si:H 積層膜の P/I 界面 SiH2結合量の基板温度依存性, プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会, 2017.12.
492. H. Ohtomo, K. Mori, R. Zhou, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Fluctuation in Capacitively Coupled Low Pressure Ar Plasma Using Optical Tweezers, 18th Workshop on Fine Particle Plasmas, 2017.12.
493. T. Kojima, K. Tanaka, S. Nagaishi, H. Hara, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cloud of a-Si:H Clusters as Selective Filter in SiH4 Multi-Hollow Discharge Plasma, 18th Workshop on Fine Particle Plasmas, 2017.12.
494. K. Mori, R. Zhou, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cross-spectrum Analysis of Interaction Fluctuation between Plasma and Nanoparticles in Capacitively-Coupled RF Discharge Reactive Plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
495. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, Evaluation of coupling among interaction fluctuations in nanoparticle growth in reactive plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
496. M. Shiratani, K. Koga, Fine Particle Plasma and Plasma Process (Invited), 18th Workshop on Fine Particle Plasmas, 2017.12.
497. N. Itagaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Fabrication of High-Mobility Nanocrystal-Free a-In2O3:Sn Films by Magnetron Sputtering with Impurity-Mediated Amorphization Method, 27th annual meeting of MRS-J, 2017.12.
498. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Advanced plasma process for next-generation photovoltaics, 27th annual meeting of MRS-J, 2017.12.
499. K. Koga, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Deposition of High Quality Silicon Thin Films Utilizing Nanoparticles Trapped in Plasmas, 27th annual meeting of MRS-J, 2017.12.
500. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Number Density of Seeds on Plasma Induced Plant Growth Enhancement, 27th annual meeting of MRS-J, 2017.12.
501. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation Analysis of An Optical Trapped Fine Particle in Ar Plasma, 27th annual meeting of MRS-J, 2017.12.
502. 坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 中村大輔, Y2O3:Er のアップコンバージョン特性と太陽電池への応用, 2017年度応用物理学会九州支部学術講演会, 2017.12.
503. 佐藤僚哉, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 種子へのプラズマ照射のカイワレ大根の長さ分布に対する効果 , 2017年度応用物理学会九州支部学術講演会, 2017.12.
504. 山本瑛久, 都甲将, 谷田知史, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 低圧プラズマを用いた CO2 のメタン化反応の活性化エネルギー , 2017年度応用物理学会九州支部学術講演会, 2017.12.
505. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gap between Electrodes and Seeds on Plasma Induced Plant Growth Enhancement, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
506. L. Shi, N. Miyahara, D. Yamashita, H. Seo, J. Lyu, K. Koga, M. Shiratani, N. Itagaki, Effects of Gas Pressure on Crystal Quality of ZION Films Fabricated by RF Magnetron Sputtering, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
507. K. Imoto, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering Growth of SiC Films on Si(111) Substrates by using Impurity Mediated Crystallization Method, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
508. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Correlation analysis between high energy electrons and nanoparticles in AM CCP, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
509. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Flow Velocity on Plant Growth of Radish Sprout, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
510. M. Shiratani, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, Growth Enhancement of Sorghum by Plasma Irradiation to The Seeds, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
511. N. Miyahara, K. Iwasaki, L. Shi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated on sapphire substrates, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
512. 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池のポリマー対向電極における触媒反応の活性化(招待講演), 電子情報通信学会有機エレクトロニクス研究会, 2017.12.
513. 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタ法によるSi(111)基板上への高品質ZnO膜の作製:窒素添加バッファー層の効果 , Plasma Conference 2017, 2017.11.
514. 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 植物種子の吸水に与える大気圧プラズマ照射の効果, Plasma Conference 2017, 2017.11.
515. 板垣奈穂, 逆SKモードを利用した超高品質スパッタエピタキシー(招待講演), Plasma Conference 2017, 2017.11.
516. 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 基板温度制御によるa-Si:H薄膜のSiネットワーク秩序性の向上, Plasma Conference 2017, 2017.11.
517. 大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットを用いたArプラズマと単一微粒子との相互作用解析, Plasma Conference 2017, 2017.11.
518. 周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎのクロスコリレーション解析区間の比較, Plasma Conference 2017, 2017.11.
519. 山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマプロセスにおけるtailored voltage waveformsの使用に向けての設計及びマッチング, Plasma Conference 2017, 2017.11.
520. K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Development of a fine particle transport analyzer for processing plasmas, The 39th International Symposium on Dry Process (DPS 2017), 2017.11.
521. H. Ohtomo, K. Mori, Z. Ren, D. Yamashita H. Seo, N. Itagaki, K. Koga, M. Shiratani, An Analysis on a Kinetic Motion of Optical Trapped Single Fine Particle in Ar Plasma, The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
522. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Nanoparticle Composite Films: Fabrication and Functions (Invited), The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
523. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of gas flow rate ratio on structure of a-C:H films deposited using Ar + H2+ C7H8 plasma CVD, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
524. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Novel Fabrication Methods of Thin Films using Low Temperature Plasmas (Invited), Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
525. N. Miyahara, K. Iwasaki, L. Shi, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from epitaxial (ZnO)x(InN)1-x films on sapphire substrates fabricated by RF magnetron sputtering, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
526. 古閑一憲, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, スケーラブルDBDプラズマのRONS照射量に対する空気流れの効果, 平成29年度(第70回)電気・情報関係学会九州支部連合大会, 2017.09.
527. M. Shiratani, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga , Local Fluctuations of Plasma Detected with an Optically Trapped Fine Particle , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
528. T. Fang, K. Yamaki, K .Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effect of Gas flow rate ratio on the structure and properties of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
529. K. Tanaka, T. Kojima, S. Toko, D. Yamashita, Hy. Seo, N. Itagaki, K.Koga, M. Shiratani, Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells fabricated by plasma CVD (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
530. B. Zhang, D. Sakamoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer counter electrodes of dye-sensitized solar cells by isooctylphenoxy-polyethoxyethanol addition, 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
531. M. Shiratani, H. Seo, N. Itagaki, K. Koga, Spatial Structure of Interactions between Nanoparticles and Low Pressure Plasmas (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
532. 大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Arプラズマ中で光捕捉された単一微粒子の運動解析, 第78回応用物理学会秋季学術講演会, 2017.09.
533. 田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, P/I界面のSi-H2結合形成に対する基板温度の効果, 第78回応用物理学会秋季学術講演会, 2017.09.
534. 石榴, 宮原奈乃華, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法で作製したZION薄膜の結晶性に及ぼすガス圧力の影響, 第78回応用物理学会秋季学術講演会, 2017.09.
535. 宮原奈乃華, 岩崎和也, 石榴, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板上へのIn-N rich (ZnO)x(InN)1-x膜の作製, 第78回応用物理学会秋季学術講演会, 2017.09.
536. 井本幸希, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 不純物添加法を用いたSi(111)基板上へのSiC薄膜成長, 第78回応用物理学会秋季学術講演会, 2017.09.
537. 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ジャガイモの生育に対するプラズマ照射時間の影響, 第78回応用物理学会秋季学術講演会, 2017.09.
538. 白谷正治, 小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, スペクトル解析によるプラズマ中クラスター挙動の分類, 第78回応用物理学会秋季学術講演会, 2017.09.
539. 古閑一憲, 和田陽介, 徐鉉雄, 板垣奈穂, 白谷正治, 橋本昌隆, 小島昌治, プラズマ照射した種籾への催芽処理の効果, 第78回応用物理学会秋季学術講演会, 2017.09.
540. 小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 高圧マルチホロー放電プラズマCVD下流におけるラジカル成膜速度の時間変化, 第78回応用物理学会秋季学術講演会, 2017.09.
541. 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタリング法を用いたSi(111)基板上への高品質ZnO薄膜の作製, 第78回応用物理学会秋季学術講演会, 2017.09.
542. 呂佳豪, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法による格子不整合基板上へのZnOエピタキシャル成長―窒素酸素共添加バッファー層の効果―, 第78回応用物理学会秋季学術講演会, 2017.09.
543. 周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎの相関関係解析, 第78回応用物理学会秋季学術講演会, 2017.09.
544. 森研人, 周靭, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中ナノ粒子とプラズマの相互作用ゆらぎの起因解明, 第78回応用物理学会秋季学術講演会, 2017.09.
545. 板垣奈穂, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 不純物添加スパッタリング法による高移動度・ナノ結晶フリー a-In2O3:Sn薄膜の作製, 第78回応用物理学会秋季学術講演会, 2017.09.
546. 徐鉉雄, 坂本大輔, 張博辰, 板垣奈穂, 古閑一憲, 白谷正治, ポリマーナノコンポジットを用いた量子ドット増感太陽電池の対向電極, 第78回応用物理学会秋季学術講演会, 2017.09.
547. 坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池におけるポリマー対向電極へのシリコンナノ粒子添加効果, 第78回応用物理学会秋季学術講演会, 2017.09.
548. 張博辰, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池のポリマー対向電極の触媒能のTriton X-100添加による向上, 第78回応用物理学会秋季学術講演会, 2017.09.
549. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, M. Shiratani , A Theoretical Model for Time Evolution of Radical Deposition Rate in Silane Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
550. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, Effects of bias voltage on the surface morphology of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
551. N. Miyahara, K. Matsushima, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of surface flat (ZnO)x(InN)1-x films on 3%-lattice-mismatched a-sapphire substrates, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
552. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Next Generation Photovoltaics Based on Si Nano-particles Fabricated by Advanced Plasma Process, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
553. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Optical Trapping Process of Single Fine Particle in Ar Plasma, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
554. K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatiotemporal structure of fluctuation of interactions between plasmas and nanoparticles in capacitively-coupled rf discharge reactive plasmas, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
555. Y. Oide, T. Sarinont, R. Katayama, Y. Wada, T. Kawasaki, D. Yamashita, H. Seo, N. Itagaki, P. Attri, E. H. Choi, A. Tanaka, K. Koga, M. Shiratani, Two-dimensional profile of RONS dose irradiated with a scalable DBD device, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
556. N. Itagaki, T. Takasaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani , Fabrication of High-Mobility Amorphous In2O3:Sn Films by RF Magnetron Sputtering with Impurity-Mediated Amorphization Method (Keynote), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
557. K. Tanaka, S. Toko, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Film thickness dependence of structure of a-Si:H thin films measured by Raman spectroscopy, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
558. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Single Crystalline ZnO-Based Semiconductors on Lattice Mismatched Substrates (Invited), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
559. H. Seo, D. Sakamoto, H. Chou, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement of Polymer Counter Electrode of Photochemical Photovoltaics based on Nano-particle Application, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
560. I. Suhariadi, N. Itagaki, M. Shiratani, Morphology Evolution of ZnO Thin Films Deposited by Nitorogen Mediated Cristallization Method, The 2nd International Joint Conference on Advanced Engineering and Technology (IJCAET 2017), 2017.08.
561. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Pit-Free Single Crystalline ZnO Films on Lattice Mismatched Substrates, 29th International Conference on Defects in Semiconductors (ICDS2017), 2017.08.
562. M. Shiratani, T. Takasaki, H. Wang, K. Matsushima, H. Seo, K. Koga, K. Takeda, M. Hori, and N. Itagaki , Measurements of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
563. S. Toko, K. Tanaka, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells deposited by multi-hollow discharge plasma CVD , International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
564. 田中和真, 小島尚, 都甲将, ⼭下⼤輔, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治, a‐Si:H 薄膜中の Si ネットワーク秩序性のラマン分光法を⽤いた評価, 平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会), 2017.06.
565. 坂本⼤輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治, ⾊素増感太陽電池におけるナノ粒⼦を⽤いた表⾯改質によるポリマー触媒の反応活性化, 平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会), 2017.06.
566. K. Koga, H. Seo, A. Tanaka, N. Itagaki, M. Shiratani, Synthesis of Nanoparticles Using Low Temperature Plasmas and Its Application to Solar Cells and Tracers in Living Body (Invited), 231st Meeting of Electrochemical Society (ECS), 2017.05.
567. 板垣奈穂, 不純物添加スパッタリングによる高移動度アモルファスITOの作製(招待講演), プラズマ材料科学第 153委員会 第130回研究会 プラズマを用いた新奇プロセス・新規材料創製, 2017.04.
568. 古閑一憲, 徐鉉雄, 板垣奈穂, 白谷正治, 低温プラズマによるナノ粒子の合成と太陽電池への応用 , 電子情報通信学会有機エレクトロニクス研究会, 2017.04.
569. 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマとナノ粒子の相互作用ゆらぎのモード間相関, 日本物理学会第72回年次大会(2017年), 2017.03.
570. 都甲将, 田中和真, 小島尚, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, a-Si:H太陽電池P/I界面におけるSi-H2結合評価, 第64回応用物理学会春季学術講演会, 2017.03.
571. 松島宏一, 宮原奈乃華, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜のフォトルミネッセンス, 第64回応用物理学会春季学術講演会, 2017.03.
572. 白谷正治, 田浪荘汰, 坂本大輔, 張博辰, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, スパッタ支援層交換で作製したポリイミド基板上結晶Ge薄膜の膜質 , 第64回応用物理学会春季学術講演会, 2017.03.
573. 徐鉉雄, 坂本大輔, 板垣奈穂, 古閑一憲, 白谷正治, 色素増感太陽電池の触媒としてのポリマーナノコンポジット, 第64回応用物理学会春季学術講演会, 2017.03.
574. 白谷正治, 片山龍, 北﨑訓, T. Sarinont, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Attri Pankaj, Eun Ha Choi, 田中昭代, 大気圧DBD装置の活性種照射量の放電電力密度依存性, 第64回応用物理学会春季学術講演会, 2017.03.
575. 宮原奈乃華, 松島宏一, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタにより格子不整合基板上に作製した表面平坦ZION薄膜, 第64回応用物理学会春季学術講演会, 2017.03.
576. 都甲将, 小島尚, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD下流におけるラジカル製膜速度と膜中クラスター混入量の動的振る舞い, 第64回応用物理学会春季学術講演会, 2017.03.
577. K. Koga, K. Mori, H. Seo, N. Itagaki, M. Shiratani, Corrational study of fluctuation of coupling between plasmas and nanoparticles, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
578. S. Tanida, S. Toko, R. Katayama, K. Koga, M. Shiratani, Time evolution of CH4 yield in methanation of CO2 using helicon discharge plasma, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
579. K. Koga, P. Attri, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Comparision of Gamma irradation and scalable DBD on the declorization of Dyes, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
580. K. Koga, A. Tanaka, M. Hirata, T. Amano, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Long-term evaluation of In nanoparticle transport in living body, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
581. 板垣奈穂, スパッタエピタキシー法を用いた高品質単結晶薄膜の形成(招待講演), プラズマ核融合学会第29回専門講習会「スパッタ技術の現状と展望」, 2017.01.
582. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2/Si-H bond ratio at P/I interface, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
583. S. Toko, S. Tanida, K. Koga, M. Shiratani, Theoretical Consideration on Methane Production Using Plasma on Mars, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
584. D. Sakamoto, H. Chou, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Si Nanoparticles Fabricated by Multi-hollow Discharge Plasma Chemical Vapor Deposition and their Photovoltaic Application, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
585. K. Koga, K. Mori, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time evolution of cross-correlation between two fluctuations of couplings between plasmas and nanoparticles in amplitude modulated discharges , 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
586. K. Koga, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, Dependence of amount of plasma activated water on growth enhancement of radish sprout, 26th annual meeting of MRS-J, 2016.12.
587. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara , DC bias voltage dependence of dust transport in a compact dust trajectory analyzer, 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
588. N. Itagaki, K. Matsushima, D. Ymashita, H. Seo, K. Koga, M. Shiratani, Sputtering growth of (ZnO)x(InN)1-x semiconductor: a ZnO-based compound with bandgap tunability over the entire visible spectrum (Invited), 26th annual meeting of MRS-J, 2016.12.
589. H. Ohtomo, M. Soejima, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Characteristic length of position fluctuation of a single fine particle optically trapped in Ar plasma, 26th annual meeting of MRS-J, 2016.12.
590. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of internal resistance by the quantity of Si nanoparticles on polymer counter electrode in dye-sensitized solar cells, 26th annual meeting of MRS-J, 2016.12.
591. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of bias voltage on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 26th annual meeting of MRS-J, 2016.12.
592. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, Effects of electrostatic field direction on dust accumulation to mirror in a plasma reactor, 26th annual meeting of MRS-J, 2016.12.
593. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of modulation frequency on interaction fluctuation between plasma and nanoparticles, 26th annual meeting of MRS-J, 2016.12.
594. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2 bond formation at P/I interface, 26th annual meeting of MRS-J, 2016.12.
595. N. Miyahara, T. Ide, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Effects of Sputtering Gas Composition on Properties of ZnO Prepared by Nitrogen Mediated Crystallization Method, 26th annual meeting of MRS-J, 2016.12.
596. T. Takasaki, H. Wang, N. Miyahara, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, High-mobility transparent amorphous In2O3:Ge films fabricated by impurity mediated amorphization method, 26th annual meeting of MRS-J, 2016.12.
597. M. Soejima, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Interaction potential fluctuation between binary collisions of fine particles suspended in low pressure Ar rf plasmas, 26th annual meeting of MRS-J, 2016.12.
598. S. Tanami, Y. Oide, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Properties of Au-induced layer-exchange crystalline Ge formed by sputtering deposition, 26th annual meeting of MRS-J, 2016.12.
599. K. Tanaka, T. Kojima, K. Keya, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatial distribution of Si-H2 bond density in a-Si:H film evaluated by microscopic FTIR, 26th annual meeting of MRS-J, 2016.12.
600. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Time evolution of electron temperature and amount of cluster incorporation into films in SiH4 multi-hollow plasma CVD, 26th annual meeting of MRS-J, 2016.12.
601. 高崎俊行, 王寒, 松島宏一, 竹田圭吾, 堀勝, 古閑一憲, 白谷正治, 板垣奈穂, アモルファスITO成膜用Ar/N2スパッタプラズマ中の窒素原子密度の測定, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
602. 毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で製膜されたPIN a-Si:H太陽電池の安定性に界面が及ぼす影響, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
603. 王寒, 高崎俊行, 松島宏一, 岩崎和也, 宮原奈及華, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2 スパッタリングプラズマにより作製したアモルファスITO膜の表面形状, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
604. 宮原奈乃華, 井手智章, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2/O2スパッタによるZnO薄膜の高品質エピタキシャル成長, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
605. 方韜鈞, 山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Ar+H2+C7H8プラズマCVD法におけるアモルファス水素化炭素膜に対する水素流量比の影響, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
606. 大井手芳徳, 片山龍, サリノント タパナット, 和田陽介, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Scalable DBDによるRONS照射量の二次元分布, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
607. 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, スパッタ製膜圧力が窒素添加ZnO膜の表面形状に与える影響, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
608. 坂本大輔, 張博辰, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVDにより作製されたナノ粒子を用いることによるポリマー薄膜の性能向上, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
609. 張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマプロセスによって作成されたSiナノ粒子の光電変換特性, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
610. 大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中微粒子の光捕捉, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
611. 添島雅大, 大友洋, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中微粒子の相互作用計測, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
612. 田浪荘汰, 坂本大輔, 張博辰, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ポリイミドフィルム上へのプラズマ支援Au誘起低温高速層交換Ge結晶成長, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
613. 小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD下流領域におけるクラスター輸送量と膜中クラスター混入量の関係, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
614. 田中和真, 毛屋公孝, 都甲将, 小島尚, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で製膜されたa-Si:H薄膜のSi-H2結合密度の面内分布, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
615. 片山龍, サリノント タパナット, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Pankaj Attri, 田中昭代, 白谷正治, 大気圧DBDジェット装置のRONS照射量比較実験, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
616. 森研人, 添島雅大, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造, プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会, 2016.12.
617. M. Shiratani, X. Dong, H. Seo, N. Itagaki, K. Koga, Plasma anisotropic CVD of carbon films from toluene (Invited), 6th International Conference on Advanced Plasma Technologies (ICAPT-6), 2016.12.
618. H. Seo, D. Sakamoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic characteristics and photovoltaic application of polymer nano-composite, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
619. K. Koga, T. Amano, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, A. Tanaka, Y. Nakatsu, T. Kondo, Comparative study on death of cells irradiated by non-thermal plasma, X-ray, and UV, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
620. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse discharge on cluster transport in downstream region of multi-hollow discharge CVD plasma, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
621. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement of fill factor (FF) of dye-sensitized solar cell by means of counter polymer electrode containing Si nanoparticles, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
622. 坂本大輔, 張博辰, 大井手芳徳, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, PEDOT:PSS 薄膜の導電性向上, 平成28年度応用物理学会九州支部学術講演会, 2016.12.
623. 小島尚, 都甲将, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , マルチホロー放電プラズマ中のクラスターサイズ・密度の放電時間依存性, 平成28年度応用物理学会九州支部学術講演会, 2016.12.
624. 古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, 小型ダスト飛跡分析装置を用いたミラー上ダスト堆積抑制の検討, 第33回プラズマ・核融合学会年会, 2016.12.
625. K. Matsushima, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Blue and Green Photoluminescence of (ZnO)0.92(InN)0.08, 2016 MRS Fall Meeting & Exhibit , 2016.12.
626. K. Iwasaki, T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growth of Single Crystalline ZnO Films on Sapphire Substrates, 2016 MRS Fall Meeting & Exhibit , 2016.12.
627. 古閑一憲, 森研人, 徐鉉雄, 板垣奈穂, 白谷正治 , プラズマとナノ粒子の相互作用ゆらぎの2次元空間構造の時間発展, 第33回プラズマ・核融合学会年会, 2016.11.
628. D. Sakamoto, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of particle size on catalytic characteristics of polymer counter electrode containing Si nanoparticles in dye-sensitized solar cells, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
629. T. Takasaki, H. Seo, N. Itagaki, K. Koga, and M. Shiratani , Effect of photocatalyst TiO2 on the long-term stability of dye-sensitized solar cells , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
630. D. Sakamoto, H. Seo, S. Tanami, Y. Oide, N. Itagaki, K. Koga, M. Shiratani, Low cost dye-sensitized solar cells based on polymer composite catalyst, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
631. T. Takasaki, W. Han, K. Iwasaki, K. Matsushima,D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room temperature fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization method , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
632. K. Koga, R. Katayama, T. Sarinont, H. Seo, N. Itagaki, P. Attri, E. L. Quiros, .A. Tanaka, M. Shiratani, Comparative study of non-thermal atmospheric pressure discharge plasmas for life science applications, 69th Annual Gaseous Electronics Conference (GEC2016), 2016.10.
633. H. Seo, D. Sakamoto, C. V. V. M. Gopi, M. Kim, H. J. Kim, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer composite counter electrode for low cost quantum dot-sensitized solar cells, The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016) , 2016.09.
634. 毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, p層上に堆積したintrinsic a-Si:H中のSi-H2/Si-H結合比に対する界面の影響, 第77回応用物理学会秋季学術講演会, 2016.09.
635. 小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマ下流における電場とクラスター輸送の関係, 第77回応用物理学会秋季学術講演会, 2016.09.
636. 森研人, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造解析 , 第77回応用物理学会秋季学術講演会, 2016.09.
637. 板垣奈穂, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷 正治, バンドギャップチューニング可能なZnO系新半導体材料の開発(プラズマエレクトロニクス賞受賞記念講演), 第77回応用物理学会秋季学術講演会, 2016.09.
638. K. Koga, T. Amano, Y. Nakatsu, H. Seo, N. Itagaki, A. Tanaka, T. Kondo, M. Shiratani, Time development of response of cells irradiated by non-thermal atmospheric air plasma, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
639. 谷田知史, 都甲将, 片山龍, 古閑一憲, 白谷正治, 低圧ヘリコン放電プラズマを用いたCO2のメタン化, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
640. 田中和真, 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子制御による高光安定なa-Si:H太陽電池セルの作成, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
641. 大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 古閑一憲, 板垣奈穂, 白谷正治, プラズマ中でレーザートラップされた微粒子を用いたプラズマのその場計測, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
642. 張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法によって作成されたSiナノ粒子の量子測定と太陽電池への応用, 第10回プラズマエレクトロニクスインキュベーションホール, 2016.09.
643. K. Matsushima, N. Itagaki, M. Shiratani, Relationship between Electric Property and Surface Flatness of (ZnO)x(InN)1-X Films on ZnO Templates, 16th International Conference On Nanotechnology (IEEE NANO 2016), 2016.08.
644. S. Tanami, Y. Oide, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on Au-induced layer-exchange crystalline Ge formation using sputtering deposition, 20th International Vacuum Congress (IVC-20), 2016.08.
645. H. Seo, M. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani , Catalytic Activation of Polymer Composite Catalyst for Photochemical Solar Cells, The 67th Annual Meeting of the International Society of Electrochemistry, 2016.08.
646. H. Seo, M. K. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Surface modification of polymeric catalyst for the catalytic enhancement of dye and quantum dot sensitized solar cells, 20th International Vacuum Congress (IVC-20), 2016.08.
647. M. Shiratani, S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki and K. Koga , Time evolution of radical deposition rate and cluster amount (Invited), 20th International Vacuum Congress (IVC-20), 2016.08.
648. K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani and S. Inagaki, Envelope analysis and cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, Workshop on Plasma surface interaction for technological applications, 2016.07.
649. N. Itagaki and M. Shiratani, Plasma surface interactions of single crystal ZnO during sputtering in Ar+O2+N2, Workshop on Plasma surface interaction for technological applications, 2016.07.
650. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of clusters transport on hydrogenated amorphous silicon solar cells, Workshop on Plasma surface interaction for technological applications, 2016.07.
651. K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki and M. Shiratani, Effects of hydrogen content on a-C:H films deposited by Ar+H2+C7H8 plasma CVD, Workshop on Plasma surface interaction for technological applications, 2016.07.
652. K. Yamaki. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Effects of hydrogen content on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
653. 古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ, QCMを用いたLHD内ダスト堆積量のその場測定, 第11回核融合エネルギー連合講演会, 2016.07.
654. 片山龍, 方韜鈞, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, コンパクトドリフトチューブへのダスト入射角度に対する印加バイアス電圧の影響 , 第11回核融合エネルギー連合講演会, 2016.07.
655. 方韜鈞, 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, コンパクトドリフトチューブを用いたArプラズマとグラファイト壁の相互作用により発生したダストの捕集実験 , 第11回核融合エネルギー連合講演会, 2016.07.
656. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of discharge power on transport characteristics of clusters in the downstream region of multi-hollow SiH4 discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
657. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Modulation level dependence of fluctuation of interactions between plasmas and nanoparticles grown in amplitude modulated discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
658. 田浪荘汰, 大井手芳徳, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , プラズマを用いた低温高速層交換結晶成長に対するRF電力の効果, 平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会), 2016.06.
659. 高崎俊行, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂 , 不純物添加法を用いた高移動度アモルファスIn2O3:Sn膜の作製, 平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会), 2016.06.
660. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, DC biased parallel plates for reduction of dust accumulation on first mirror, International Conference on Plasma Surface Interactions in Controlled Fusion Devices (22nd PSI) , 2016.05.
661. 白谷正治, 片山龍, 古閑⼀憲, 山下大輔, 徐鉉雄, 板垣奈穂, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ, コンパクトドリフトチューブを用いたプラズマ生成ダスト捕集, 第63回応用物理学会春季学術講演会, 2016.03.
662. 古閑一憲, 添島雅大, 伊藤鉄平, 徐鉉雄, 板垣奈穂, 白谷正治, プラズマ中微粒子を用いたプラズマポテンシャルゆらぎの評価, 日本物理学会第71回年次大会, 2016.03.
663. 松島宏⼀, 井手智章, 山下大輔, 徐鉉雄, 古閑⼀憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表⾯モフォロジー制御, 第63回応用物理学会春季学術講演会, 2016.03.
664. 都甲将, 毛屋公孝, 小島尚, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマによるクラスター捕捉を利用した低クラスター混入 a-Si:H 薄膜の作製, 第7回薄膜太陽電池セミナー, 2016.03.
665. 徐鉉雄, 田浪荘汰, 大井手芳徳, 板垣奈穂, 古閑一憲, 白谷正治, 色素及び量子ドット増感太陽電池の電解液に適用可能なポリマー触媒, 第7回薄膜太陽電池セミナー, 2016.03.
666. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, A compact drift tube: a novel in-situ dust measurement method, 8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science (ISPlasma2016/IC-PLANTS2016), 2016.03.
667. M. Soejima, K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shirtani, Non-thermal atmospheric air plasma irradiation to plant seeds for plasma agricultural applications, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
668. M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation in interaction potential between binary colliding fine particles suspended in plasmas, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
669. N. Itagaki, Inverse SK mode of epitaxial film growth and its application to solar cells (Invited), The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
670. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Deposition of high-mobility amorphous In2O3:Sn films by utilizing nitrogen mediated amorphization method, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
671. S. Tanami, S. Hashimoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of annealing temperature on grain size of Au catalyst for layer-exchange Ge crystalline formation, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
672. K. Keya, Y. Torigoe, S. Toko, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Evaluation of SiH and SiH2 bonds in PIN a-Si:H solar cells using Raman spectroscopy, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
673. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hybrid counter electrode of photochemical solar cells for iodine and polysulfide redox systems (Invited), The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
674. S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Inverse Correlation between Radical Deposition Rate and Cluster Amount in Gas Phase in SiH4 Discharge Plasmas for Si Thin Film Solar Cell Fabrication, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
675. H. Seo, M.-K. Son, N. Itagaki, K. Koga, M. Shiratani, Stable and low cost polymeric catalyst for dye-sensitized solar cells, EMN Photovoltaics Meeting, 2016.01.
676. 小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, シランマルチホロー放電プラズマ下流領域におけるクラスタ量の時間変化, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
677. 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ, ダイバータシミュレータ内でのコンパクトドリフトチューブを用いたダスト捕集実験, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
678. 山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, Ar+H2+C7H8プラズマCVDで堆積したa-C:H膜へのイオン照射の効果, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
679. 松村勇希, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, O2/N2分圧比制御による高品質(ZnO)x(InN)1-x膜のスパッタリング形成, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
680. 大井手芳徳, 橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, プラズマスパッタリングによる酸化シリコン中への埋め込みGeナノ粒子の粒径制御, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
681. 森研人, 伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋, 反応性プラズマ中で形成されたナノ粒子によるレーザー散乱光強度のエンベロープ解析:変調周波数依存性, プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会, 2015.12.
682. K. Koga, T. Ito, T. Kobayashi, M. Shiratani, S. Inagaki, Analysis of Spatiotemporal Development of Interaction Fluctuation between Nanoparticles and Radicals in Reactive Plasmas, 9th APSPT/28th SPSM, 2015.12.
683. K. Matsushima, T. Ide, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate Temperature on Film Growth Mode of ZnO-InN Pseudo Binary Alloys, 9th APSPT/28th SPSM, 2015.12.
684. R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, DC biased compact drift tube for measuring dust transport, 第16回微粒子プラズマ研究会, 2015.12.
685. 白谷正治, 添島雅大, 伊東鉄平, 徐鉉雄, 板垣奈穂, 古閑一憲, Arプラズマ中の2つのダスト粒子間のポテンシャル, 第25回日本MRS年次大会, 2015.12.
686. 鳥越祥宏, 毛屋公孝, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, a-Si:H膜中のSi-H2結合生成に対する表面反応の寄与, 第25回日本MRS年次大会, 2015.12.
687. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 基板テクスチャ構造がa-Si:H薄膜中Si-H2結合形成に及ぼす影響, 第25回日本MRS年次大会, 2015.12.
688. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dotsensitized Solar Cells Based on Improved Charge Transfer, 第25回日本MRS年次大会, 2015.12.
689. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Potentials of Nano-particles Based on Advanced Plasma Processes (Invited), 第25回日本MRS年次大会, 2015.12.
690. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, クラスタ及びSiH3ラジカルのSiH2結合形成への寄与, 第25回日本MRS年次大会, 2015.12.
691. 古閑一憲, 天野孝昭, Thapanut Sarinont, 徐鉉雄, 板垣奈穂, 白谷正治, 中津可道, 平田美由紀, 田中昭代, 液中プラズマを用いたAuとPtナノ粒子の簡易作製法, 平成27年度応用物理学会九州支部学術講演会, 2015.12.
692. K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Chemical Ordering in ZnO-InN Pseudo Binary Alloys with Tunable Bandgap, 2015 MRS Fall Meeting, 2015.12.
693. 古閑一憲,添島雅大,伊東鉄平,山下大輔,徐鉉雄,板垣奈穂,白谷正治,野口将之,内田誠一, プラズマ中のクーロン衝突微粒子間引力, 第32回プラズマ・核融合学会 年会, 2015.11.
694. 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 核融合炉内ダスト計測用コンパクトドリフトチューブの試作, 第32回プラズマ・核融合学会 年会, 2015.11.
695. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic Activation in Polymeric Counter Electrode of Dye-sensitized Solar Cells by Surface Modification, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
696. H. Seo, S.-H. Nam, J.-H. Boo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on Photocatalytic and Photovoltaic Properties of Dye-sensitized Solar Cells by S doped TiO2, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
697. 古閑一憲, 田浪荘汰, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 新しいプラズマプロセス技術を用いた薄膜堆積, 接合科学共同利用・共同研究拠点 大阪大学接合科学研究所 平成27年度 共同研究成果発表会, 2015.11.
698. K. Koga, X. Dong, K. Yamaki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka ,Y. Setsuhara, Effects of Ion Energy on Chemical Bond Configuration in a-C:H Deposited using Ar + H2+ C7H8 Plasma CVD, 37th International Symposium on Dry Process (DPS2015), 2015.11.
699. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shiratani, Improving of Harvest Period and Crop Yield of Arabidopsis Thaliana L. using Nonthermal Atmospheric Air Plasma, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
700. K. Koga, T. Amano, T. Sarinont, T. Kawasaki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Y. Nakatsu, A. Tanaka, Two Dimentional Visualization of Oxidation Effect of Scalable DBD Plasma Irradiation using KI-starch Solution, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
701. R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, M. Tokitani, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Deposition rate and etching rate due to neutral radicals and dust particles measured using QCMs together with a dust eliminating filter, ICRP9/GEC68/SPP33, 2015.10.
702. Y. Torigoe, K. Keya, S. Toko, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of electrode structure on characteristics of multi-hollow discharges, ICRP9/GEC68/SPP33, 2015.10.
703. S. Hashimoto, S. Tanami, H. Seo, G. Uchida, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2 dilution on fabrication of Ge nanoparticles by rf sputtering, ICRP9/GEC68/SPP33, 2015.10.
704. D. Yamashita, M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Laser trapped single fine particle as a probe of plasma parameters, ICRP9/GEC68/SPP33, 2015.10.
705. K. Keya, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of PIN hydrogenated amorphous silicon solar cells, ICRP9/GEC68/SPP33, 2015.10.
706. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, Y. Nakatsu, A. Tanaka, M. Shiratani, Simple Evaluation Method of Atmospheric Plasma Irradiation Dose using pH of Water, ICRP9/GEC68/SPP33, 2015.10.
707. T. Amano, K. Koga, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, S. Kitazaki, M. Hirata, Y. Nakatsu, A. Tanaka, Synthesis of indium-containing nanoparticles using plasmas in water to study their effects on living body, ICRP9/GEC68/SPP33, 2015.10.
708. M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Attraction during binary collision of fine particles in Ar plasma, ICRP9/GEC68/SPP33, 2015.10.
709. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation into A-Si:H Films Deposited Using H 2 +SiH 4 Discharge Plasmas, ICRP9/GEC68/SPP33, 2015.10.
710. T. Ito, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, T. Kobayashi, S. Inagaki, Cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, ICRP9/GEC68/SPP33, 2015.10.
711. T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization, ICRP9/GEC68/SPP33, 2015.10.
712. K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films, ICRP9/GEC68/SPP33, 2015.10.
713. T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, ICRP9/GEC68/SPP33, 2015.10.
714. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Raman Spectroscopy of a -C:H Films Deposited Using Ar + H 2 + C 7 H 8 Plasma CVD, ICRP9/GEC68/SPP33, 2015.10.
715. S. Tanami, D. Ichida, D. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of Au-induced crystalline Ge film formation using sputtering deposition, ICRP9/GEC68/SPP33, 2015.10.
716. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Novel polymer counter electrode of dye-sensitized solar cells, 66th Annual Meeting of the International Society of Electrochemistry, 2015.10.
717. 板垣奈穂, 可視領域でバンドギャップチューニング可能な新材料ZIONの開発(招待講演), 固体化学の新しい指針を探る研究会第78回定例研究会, 2015.10.
718. K. Koga, M. Soejima, K. Tomita, T. Ito, H. Seo, N. Itagaki, M. Shiratani, In-situ laser Raman spectroscopy of an optically trapped fine particle, 17th International Symposium on Laser-Aided Plasma Diagnostics (LAPD17) , 2015.09.
719. 橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリングによるGeナノ粒子作製への窒素希釈の効果, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
720. 松島宏一, 井手智章, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, ZnInON膜作製時におけるAr/O2/N2プラズマ中のO原子及びN原子の絶対密度計測, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
721. 片山龍, 古閑一憲, 山下大輔, Hyunwoong Seo, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ・壁相互作用により発生したダスト堆積とプラズマ発光との相関, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
722. 田浪荘汰, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマを用いた低温高速層交換結晶成長に対する金触媒膜厚の効果, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
723. 添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 野口将之, 内田誠一, プラズマ中微粒子運動の2次元顕微解析, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
724. 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法におけるシランガス流速のクラスターに対するラジカル損失への影響, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
725. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, ラマン分光法を用いたa-Si:H PIN太陽電池のP、I層およびPI界面の評価, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
726. 高崎俊行, 井手智章, 松島宏一, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 高移動度アモルファスIn2O3:Sn薄膜作製におけるN2/Arスパッタリングプラズマ中の窒素原子絶対密度計測, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
727. Xiao Dong, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 水素前方散乱分析法とフーリエ変換赤外分光法によるアモルファス炭素膜中水素濃度の比較分析, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
728. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 成膜領域へのマルチホロー放電プラズマの拡散に対する電極構造の影響, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
729. 井手智章, 松島宏一, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法を用いたZnO膜作製におけるN2/Arプラズマ中のN原子絶対密度計測, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
730. 伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋, 反応性プラズマ中ナノ粒子量揺らぎのクロスコリレーション解析, 平成27年度(第68回)電気・情報関係学会九州支部連合大会, 2015.09.
731. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration In Extremely Thin A-Si:H Fimls Deposited By Multi-Hollow Discharge Plasma CVD Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
732. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition Of Amorphous In2O3:Sn Films With High Mobility By Utilizing Nitrogen Mediated Amorphization Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
733. N. Itagaki, Single Crystal Growth On Large Lattice-Mismatched Substrates By Using Buffer Layers With Fine Grains (Invited), The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
734. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement On Polymeric Counter Electrode Of Si Quantum Dot-sensitized Solar Cells, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
735. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement Of Organic/inorganic Hybrid Solar Cell By The Surface Modification At Si And Polymer Junction, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
736. M. Shiratani, T. Ide, K. Matsushima, T. Takasaki, H. Seo, K. Koga, N. Itagaki, Requirements Of Buffer Layer For Heteroepitaxy Of ZnO On Lattice-Mismatched Substrate By Sputtering, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
737. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Gas Flow Rate Ratio Dependence Of Properties Of Carbon Films Deposited Using Ar + H2+ C7H8 Plasma CVD, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
738. 古閑一憲, 添島雅大, 徐鉉雄, 板垣奈穂, 白谷正治, 内田誠一, アルゴンプラズマ中微粒子運動の画像解析によるプラズマパラメータ評価, 日本物理学会 2015年秋季大会, 2015.09.
739. 古閑一憲, 伊東鉄平, 徐鉉雄, 板垣奈穂, 白谷正治, 反応性プラズマ中ナノ粒子とラジカルの非線形結合成分の時空間解析, 日本物理学会 2015年秋季大会, 2015.09.
740. 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDにおける水素希釈のa-Si:H膜中クラスター混入量への影響, 第76回応用物理学会秋季学術講演会, 2015.09.
741. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Cluster Eliminating Filter on Extremely Thin a-Si:H Films Deposited by SiH4 Multi-Hollow Discharges, 第76回応用物理学会秋季学術講演会, 2015.09.
742. 森研人, 伊東鉄平, 古閑一憲, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治,小林達哉, 稲垣滋, AM変調を用いた反応性プラズマ中のナノ粒子揺らぎの時空間解析, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
743. 大井手芳徳, 橋本慎史, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリング法を用いて作製したGeナノ粒子膜の増感型量子ドット太陽電池への応用, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
744. 山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 節原裕一, 竹中弘祐, 水素原子源付プラズマCVD法によるカーボンフィルムの堆積速度および膜質の制御, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
745. 松村勇希, 井手智章, 松島宏一, 山下大輔, Hyunwoong Seo, 古閑一憲, 白谷正治, 板垣奈穂, 窒素媒介結晶化のスパッタ法によるZnO膜のエピタキシャル成長の窒素流量の影響
, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
746. 小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , 膜中クラスター体積分率の水素ガス流量依存性, 第9回プラズマエレクトロニクスインキュベーションホール, 2015.09.
747. 天野孝昭, 古閑一憲, 板垣奈穂, 白谷正治, 田中昭代, 平田美由紀, 体内動態観察を目的とした水中プラズマによるインジウムナノ粒子の作製と投与, 新学術領域研究「プラズマ医療科学の創成」+「プラズマとナノ界面の相互作用に関する学術基盤の創成」+「統合的神経機能の制御を標的とした糖鎖の作動原理解明」合同公開シンポジウム, 2015.08.
748. M. Shiratani, T. Ito, K. Koga, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, T. Kobayashi, S. Inagaki, Bispectrum analysis of fluctuation of nanoparticle amount in amplitude modulated capacitively-coupled discharge plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
749. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Contribution of Clusters to SiH2 Bonds in a-Si:H Films, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
750. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Linear and Nonlinear Correlation between Deposition Rate and SiH Emission Intensity in SiH4 Multi-hollow Discharge Plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
751. M. Shiratani, M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, Position fluctuation of a fine particle optically trapped in Ar plasma, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
752. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Third Generation Photovoltaics (Invited), The 1st Asian Workshop on Advanced Plasma Technology and Application, 2015.07.
753. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Second and Third Generation Photovoltaics (Invited), 2015 International Forum on Functional Materials (IFFM2015), 2015.06.
754. N. Itagaki, T. Ide, K. Matsushima, T. Takasaki, D. Yamashita, H. Seo, K. Koga, Masaharu Shiratani, Sputtering growth of single-crystalline ZnO films on c-sapphire substrates by using impurity-mediated crystallization method: Effects of surface morphology of buffer layers, 2015 E-MRS Spring Meeting and Exhibit, 2015.05.
755. M. Shiratani, X. Dong, K. Koga, N. Itagaki, H. Seo, G. Uchida, Site-selective Coating of Carbon Protective Layer on Sub-micron Trenches Using Plasma CVD, 42nd International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2015), 2015.04.
756. H. Seo, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Enhancement on the Photovoltaic Properties of Dye-Sensitized Solar Cells with Catalytically Activated Polymeric Counter Electrode, 2015 MRS Spring Meeting, 2015.04.
757. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Crystalline Ge film deposition between Au catalyst film and quartz glass substrate using magnetron sputtering, 2015 MRS Spring Meeting, 2015.04.
758. N. Itagaki, T. Takasaki, T. Nakanishi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, High-mobility amorphous In2O3:Sn films prepared by sputter deposition with nitrogen-mediated amorphization method, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
759. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Flow Rate on Deposition Rate and Amount of Si Clusters Incorporated into a-Si:H Films, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
760. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of ion irradiation on mass density of carbon films deposited by Hassisted plasma CVD, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
761. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
762. 古閑一憲, 市田大樹, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 非平衡プラズマスパッタリングによる高速低温層交換結晶成長 , 日本物理学会第70回年次大会, 2015.03.
763. 古閑一憲, 市田大樹, 橋本慎史, 徐鉉雄, 山下大輔, 板垣奈穂, 白谷正治, スパッタを用いた低温高速層交換Ge結晶成長に対する基板温度の効果, 第62回応用物理学会春季学術講演会, 2015.03.
764. 古閑一憲, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 白谷 正治, 水素化アモルファスシリコン薄膜中Si-H2結合生成に対するクラスタ混入とラジカル表面反応の寄与, 第62回応用物理学会春季学術講演会, 2015.03.
765. 板垣奈穂, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 可視領域でバンドギャップチューニング可能なZnO系新材料の開発(招待講演), 第62回応用物理学会春季学術講演会, 2015.03.
766. 松島宏一, 清水僚太, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, ワイドバンドギャップ半導体ZnInONをi層に用いたpin太陽電池の作製, 第62回応用物理学会春季学術講演会, 2015.03.
767. 井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化法によるc面サファイア基板上へのZnO膜の作製:歪み緩和におけるバッファー層結晶粒密度の影響, 第62回応用物理学会春季学術講演会, 2015.03.
768. N. Itagaki, ZnO-based semiconductors with tunable band gap for 3rd generation solar sells (Invited), International Society for Optics and Photonics, Photonics West 2015, 2015.02.
769. 板垣奈穂, 不純物添加結晶化法による高品質ZnO薄膜の形成(招待講演), 学振166委員会 第66回研究会 , 2015.01.
770. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic application of Si nano-particles fabricated by underwater discharge (Invited), The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.01.
771. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Realization of highly stable a‐Si:H film by using cluster eliminating filter, The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
772. K. Koga, T. Ito, H. Seo, N. Itagaki, and M. Shiratani, Temporal development of nonlinear coupling between radicals and nanoparticles in reactive plasmas (Invited), The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
773. Naho Itagaki, ZnO-based semiconductors with tunable band gap for solar cell application (Invited), 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
774. K. Koga, S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, M. Shiratani, Cluster suppressed deposition of a-Si:H films by employing non-linear phenomena in reactive plasmas (Invited), 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
775. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
776. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in liquid for solar cell applications, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
777. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of strained-induced ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
778. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Gas Residence Time Effects of Cluster Incorporation into a-Si:H Films, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
779. 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVDで作製されたa-Si:H膜中Si-H2結合形成に対するクラスター混入と表面反応の寄与, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
780. 片山龍, 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦 相良明男, LHD実験グループ, LHD内バイアス基板への長期ダスト堆積実験, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
781. 市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFマグネトロンスパッタリングと金薄膜触媒を用いた低温高速層交換Ge結晶成長, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
782. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, シランマルチホロー放電の発光強度の圧力依存性, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
783. 立石瑞樹, 片山龍, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行 増崎貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ・壁相互作用により発生したダストの容器壁堆積のその場検出, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
784. 金光善徳, 古閑一憲, 山下大輔, 鎌滝普礼, 徐鉉雄, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVD法で作製したナノ結晶シリコン薄膜を用いた光学バンドギャップ制御, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
785. 白谷正治, 古閑一憲, 徐鉉雄, 板垣奈穂, プラズマナノプロセシングにおける揺らぎ抑制のための戦略, 第24回日本MRS年次大会, 2014.12.
786. 金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で堆積したSiナノ粒子含有膜の光学バンドギャップ制御, 第24回日本MRS年次大会, 2014.12.
787. N. Itagaki, Sputtering Growth of ZnO-based semiconductors with Band Gap Tunability over the Entire Visible Spectrum (Invited), Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2014), 2014.12.
788. 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, Contributions of ion irradiation to the characteristics of a-C:H films deposited by H-assisted plasma CVD method, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
789. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, Evaluaion of Si-H2 bond formation of a-Si:H film using cluster eliminating filter, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
790. 添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中に光捕捉した微粒子の挙動解析, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
791. 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電の上流領域に輸送された クラスタ量の水素希釈の効果, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
792. 高崎俊行, 中西貴彦, 山下大輔, 徐鉱雄, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加スパッタリング法による高移動度アモルファスIn2O3:Sn 膜の作製, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
793. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Perovskite Solar Cells on the Ratio of Lead Iodide and Methylammonium Iodide, 2014 MRS Fall Meeting, 2014.12.
794. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Sputtering Growth of Pseudobinary ZnO-InN Alloys with Tunable Band Gap for Application in Multi-Quantum Well Solar Cells, 2014 MRS Fall Meeting, 2014.12.
795. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Strained Multi-Quantum Well Solar Cells Utilizing ZnInON, 2014 MRS Fall Meeting, 2014.12.
796. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Morphology Control of Buffer Layers for Growth of Single-Crystalline ZnO Films on Lattice Mismatched Substrates, 2014 MRS Fall Meeting, 2014.12.
797. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, and M. Shiratani, Synthesize of ZnO-based Semiconductor with Tunable Band Gap and Its Application in Multi-Quantum-Well Solar Cells, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
798. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga and M. Shiratani, Optical Analysis on Perovskite Films According to CH3NH3I and PbI2 Concentration, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
799. 板垣奈穂, 古閑一憲, 白谷正治, スパッタリング成膜法による高品質酸化亜鉛薄膜の形成, 第30回 九州・山口プラズマ研究会, 2014.11.
800. S. Toko, Y. Torigoe, Y. Kanemitu, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Effects of Gas Residence Time on Amount of Si Clusters Incorporated into a-Si:H Films, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
801. N. Itagaki, T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Non-Equilibrium and Extreme State -High-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization-, Plasma Conference 2014, 2014.11.
802. K. Koga, T. Ito, H. Seo, N. Itagaki, M. Shiratani, Analysis of coupling between nanoparticles and radicals using perturbation of radical density in reactive plasmas, Plasma Conference 2014, 2014.11.
803. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of dust deposition on optical characteristics of substrates, Plasma Conference 2014, 2014.11.
804. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall, Plasma Conference 2014, 2014.11.
805. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of crystalline Ge films using RF sputtering and metal catalyst, Plasma Conference 2014, 2014.11.
806. N. Itagaki, Sputteing Growth of High-Quality ZnO-based Semiconductors for Optoelectronic Applications (Invited), American Vacuum Society 61st International Symposium and Exhibition (AVS), 2014.11.
807. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Ge films for applying solar cells using RF magnetron sputtering and gold film catalyst, Global Photovoltaic Conference 2014, 2014.11.
808. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in water for organic/inorganic hybrid solar cells, Global Photovoltaic Conference 2014, 2014.11.
809. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. H. Nam, J. H. Boo, Improvement on charge transportation of Si quantum dot-sensitized solar cell using vanadium doped TiO2, Global Photovoltaic Conference 2014, 2014.11.
810. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization of Si nano-particles fabricated by multi-hollow discharge plasma chemical vapor deposition, Global Photovoltaic Conference 2014, 2014.11.
811. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Novel method of Ge crystalline thin film deposition on SiO2 by sputtering, 67th Annual Gaseous Electronics Conference, 2014.11.
812. K. Koga, T. Ito, K. Kamataki, H. Seo, N. Itagaki, and M. Shiratani, Effects of amplitude modulated VHF discharge on coupling between plasmas and nanoparticles, 24th International Toki Conference, 2014.11.
813. T. Ito, D. Yamashita, H. Seo, K. KamatakiI, N. Itagaki, K. Koga, M. Shiratani, Modulation frequency dependence of bispectrum of laser light scattering intensity from nanoparticles formed in reactive plasmas, 67th Annual Gaseous Electronics Conference, 2014.11.
814. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of Dust Deposition on Optical Characteristics of Mirrors Installed on First Wall in LHD, 15th Workshop on Fine Particle Plasmas, 2014.10.
815. M. Shiratani, T. Ito, M. Soejima, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, Single Fine Particle Trapped in Ar Plasma by Optical Tweezers (Invited), 15th Workshop on Fine Particle Plasmas, 2014.10.
816. G. Uchida, D. Ichida, H. Seo, S. Hashimoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size-controlled Ge Nanoparticle Synthesis and Their Application to Quantum-dot Solar Cells, 15th Workshop on Fine Particle Plasmas, 2014.10.
817. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Development of an in-situ Detection Method of Dust Particles Deposited on Vessel Wall Using Quartz Crystal Microbalances, 15th Workshop on Fine Particle Plasmas, 2014.10.
818. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, S i ナノ粒子を用いたハイブリッド型太陽電池の研究, 第6回薄膜太陽電池セミナー2014, 2014.10.
819. 毛屋公孝, 都甲将, 鳥越祥宏, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治 , マルチホロー放電プラズマで作製したa-Si:H膜中クラスター量の水素-シラン混合比依存性, 第6回薄膜太陽電池セミナー2014, 2014.10.
820. 徐鉉雄, 橋本慎史, 市田大樹, 板垣奈穂, 古閑一憲, 白谷正治 , 色素増感太陽電池のポリマー触媒の改善, 第6回薄膜太陽電池セミナー2014, 2014.10.
821. 板垣奈穂, 超高効率太陽電池への挑戦 ~量子効果を利用した新型太陽電池の実現に向けて~(招待講演), 第25回精密加工プロセス研究会講演会, 2014.10.
822. 板垣奈穂, 井出智章, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, c面サファイア基板上への単結晶ZnO膜の形成 : 格子不整合系ヘテロエピタキシーにおける成長初期過程の表面形態の影響, 第75回応用物理学会秋季学術講演会, 2014.09.
823. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Si量子ドットを用いたハイブリッド型太陽電池の研究, 平成26年度(第67回)電気・情報関係学会九州支部連合大会, 2014.09.
824. 徐鉉雄, 橋本慎史, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDによるSi量子ドットの作製と新太陽電池への応用研究, 平成26年度(第67回)電気・情報関係学会九州支部連合大会, 2014.09.
825. 松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 酸窒化物半導体ZnInONを用いた量子井戸型太陽電池の作製, 第75回応用物理学会秋季学術講演会, 2014.09.
826. Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, and M. Shiratani, Effects of hydrogen dilution to nanoparticle formation in silane hydrogen mixture multi-hollow discharge plasmas, 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
827. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of tandem dye-sensitized solar cell based on mesh-type of counter electrode, 65th Annual Meeting of the International Society of Electrochemistry, 2014.09.
828. M. Shiratani, H. Seo, N. Itagaki, G. Uchida, K. Koga, Plasma CVD nanostructured films for energy applications (Invited), 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
829. N. Itagaki, Fabrication of Pseudo-binary ZnO-InN Alloys with Tunable Bandgap by Low-Temperature Magnetron Sputtering (Invited), 15th IUMRS-International Conference in Asia, 2014.08.
830. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Counter electrode based on conductive polymer for cost-effective dye-sensitized solar cells, 15th IUMRS-International Conference in Asia, 2014.08.
831. S. Toko, Y. Hasahimoto, Y. Kanemitsu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Hysteresis of Cluster Amount in Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
832. T. Ito, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of optically trapped single fine particle in plasmas , 15th IUMRS-International Conference in Asia, 2014.08.
833. K. Koga, Y. Morita, T. Ito, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, Spatiotemporal Analysis of Nanoparticle Growth in Amplitude Modulated Reactive Plasmas for Understanding Interactions between Plasmas and Nanomaterials (Invited), 15th IUMRS-International Conference in Asia, 2014.08.
834. M. Shiratani, H. Seo, K. Koga, N. Itagaki, Stability of nanoparticle growth processes in reactive plasmas, 15th IUMRS-International Conference in Asia, 2014.08.
835. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Substrate Temperature on Defect Density of Ge Nanoparticle Films , 15th IUMRS-International Conference in Asia, 2014.08.
836. Y. Torigoe, Y. Hashimoto, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration Associated with Si-H2 Bonds in Films Deposited by High Frequency Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
837. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, Real Time Deposition Measurement of Dust Particles Generated by Plasma-Wall Interaction , 15th IUMRS-International Conference in Asia, 2014.08.
838. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si QD/PEDOT:PSS Hybrid Solar Cells Using Si Nanoparticles Fabricated by Multi-Hollow Plasma CVD , 15th IUMRS-International Conference in Asia, 2014.08.
839. T. Amano, K. Koga, T. Sarinont, N. Itagaki, M. Shiratani, N. Hayashi, Y. Nakatsu, T. Tsuzuki, M. Hirata, A. Tanaka, Synthesis of In nanoparticles using RF sputtering for evaluating nanoparticle kinetics in living body , 15th IUMRS-International Conference in Asia, 2014.08.
840. N. Itagaki, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Crystal Growth Control of Sputter-Deposited ZnO Films by Nitrogen-Mediated Crystallization Method , 15th IUMRS-International Conference in Asia, 2014.08.
841. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of a novel widegap semiconductor ZnGaON by magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
842. K. Matsuhima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of In-rich ZnInON films by RF magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
843. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of sputter-deposited ZnO films via nitrogen mediated crystallization: effects of nitrogen flow rate , 15th IUMRS-International Conference in Asia, 2014.08.
844. T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, RF Magnetron sputtering of low-resistive ZnO:Ga films with buffer layers fabricated via nitrogen mediated crystallization , 15th IUMRS-International Conference in Asia, 2014.08.
845. N. Itagaki, K. Matsushima, R. Shimizu, and T. Ide, Sputter-Deposition of Pseudobinary ZnO-InN Alloys with Tunable Bandgap for Photovoltaic Application (Invited), International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
846. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani, Photovoltaic Application of Polymer: Dye-sensitized Solar Cell and Organic/inorganic Hybrid Solar Cell (Invited), International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
847. Y. Torigoe, C. Weiting, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Suppression of Cluster Incorporation into a-Si:H Films Using a Cluster Eliminating Filter, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
848. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Properties of Organic/Inorganic Hybrid Solar Cells with Improved Electrode, 2014 International Symposium on Green Manufacturing and Applications (ISGMA 2014), 2014.06.
849. 市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD で作成したGe半導体薄膜の光電特性, 平成26年度九州表面・真空研究会2014(兼第19回九州薄膜表面研究会), 2014.06.
850. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Real time mass measurement of dust particles deposited on vessel wall using quartz crystal microbalances in a divertor simulator, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
851. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust collection with dc-biased substrates in large helical device, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
852. 板垣奈穂, Novel oxynitride semiconductors for photovoltaic applications (太陽電池のための新規酸窒化物材料の探索), 36th Seminar of Photovoltaic Power Generation Project (第36回平成26年度太陽光発電プロジェクト講演会 ), 2014.05.
853. M. Shiratani, S. Toko, K. Koga, N. Itagaki, H. Seo, In-situ Measurements of Volume Fraction of cDusters in Films During Plasma CVD, International Conference on Metallurgical Coatings and Thin Films(ICMCTF 2014), 2014.05.
854. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Si/SiC coreshell nanoparticle composite anode for Li ion batteries, 2014 MRS Spring Meeting, 2014.04.
855. N. Itagaki, Sputtering growth of ZnO-based semiconductors using ZnON buffer layers for optoelectronic applications (Invited), The International Symposium on Plasma-Nano Materials and Processes, 2014.04.
856. 白谷正治, 古閑一憲, 森田康彦, 伊東鉄平, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, ナノ粒子含有振幅変調放電プラズマ中のAr準安定原子密度, 日本物理学会 第69回年次大会, 2014.03.
857. 天野孝昭, 古閑一憲, Sarinont Thapanut, 板垣奈穂, 白谷正治, 林信哉, 中津可道, 續輝久, 平田美由紀, 田中昭代, RFスパッタリングによるInナノ粒子の作製とそのラット体内の動態, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
858. 松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高In組成ZnInON膜の作製, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
859. 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 反応性プラズマ中のナノ粒子成長とプラズマ揺らぎ, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
860. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, シランプラズマ中のクラスター量に対する振幅変調放電の効果, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
861. 伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中に光捕捉した単一微粒子を用いたプラズマと界面の相互作用評価, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
862. 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 水素原子源付きプラズマCVDで作製した炭素薄膜の堆積速度:圧力と電極間距離への依存性, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
863. 森田康彦, 伊東鉄平, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲 , 白谷正治, 反応性プラズマにおけるナノ粒子成長のバイスペクトル解析, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
864. 板垣奈穂, 不純物添加結晶化法を用いた高品質ZnO薄膜のスパッタリング成膜」 -格子不整合基板上への単結晶膜の作製から極薄透明導電膜の作製まで- (招待講演), スパッタリングおよびプラズマプロセス技術部会(SP部会) 第137回定例研究会 , 2014.03.
865. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Transport of fine particles produced by interactions between H2 plasmas and carbon wall, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
866. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Volume Fraction of Clusters in Si Thin Films Depoisted by Multi-Hollow Discharge Plasma CVD, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
867. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Qunatum-Dot Sensitized Solar Cells Using Ge Nanoparticle Films Deposited by High Pressure Rf Magnetron Sputtering Method, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
868. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Band gap control of nc-Si thin films deposited multi-hollow discharge plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
869. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Bispectrum analysis of nanoparticle growth in reactive dusty plasmas, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
870. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida and M. Shiratani, Coupling between radicals in plasmas and nanoparticle growth in initial growth phase in reactive plasmas with amplitude modulation, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
871. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Cluster Amount in Downstream Region of Multi-Hollow Silane Discharge Plasmas Studied by Laser Light Scattering Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
872. Y. Torigoe,Y. Hashimoto, S. Toko, D. Yamashita, N. Itagaki, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Effects of Amplitude Modulation on Stability of Hydrogenated Amorphous Silicon Films Deposited using Multi-Hollow Discharge Plasma CVD Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
873. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Pressure on Deposition Rate of Anisotropic Plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
874. S. Hashimoto, D. Ichida, K. Kamataki, H. Seo, G. Uchida, N. Itagaki,, K. Koga, M. Shiratani, Effects of Hydrogen Dilution on Structure of Ge Quantum Dot Film Deposited by Using High Pressure rf Sputtering, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
875. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on lattice mismatch substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
876. T. Ito, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, and M. Shiratani, Evaluation of Interactions between Plasmas and Interface using Optically Trapped Single Fine Particle, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
877. K. Koga, Y. Hashimoto, S. Toko, D. Yamashita, Y. Torigoe, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Fabrication of highly stable a-Si:H solar cells by suppressing cluster incorporation (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
878. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of InN-rich ZnInON films for photovoltaic appplications by RF magnetron sputtering, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
879. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Fabrication of Size-controlled Ge Nanoparticle Films Using High Pressure RF Sputtering Method for Quantum Dot Solar Cell, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
880. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Flux Control of Dust Particles Generated due to Interactions between Graphite Wall and H2 Plasmas using DC Biased Substrates, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
881. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization by RF Magnetron Sputtering Method, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
882. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
883. Y. Hashimoto, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective removal of clusters in silane plasmas by cluster eliminating filter, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
884. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Si nano-particles: Its quantum characteristics and application for photovoltaics (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
885. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of novel semiconductor ZnGaON with wide bandgap for optoelectronic devices, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
886. G. Uchida, D. Ichida, S. Hashimoto, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Photocurrent generation of quantum-dot sensitized solar cells using group-IV semiconductor nanoparticle films (Invited), 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
887. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
888. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
889. Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
890. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
891. Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
892. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
893. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
894. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
895. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
896. T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
897. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori, Pressure dependence of carbon film deposition using H-assisted plasma CVD, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
898. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Raman spectroscopy of a fine particle optically trapped in plasma, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
899. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Spatial profile of flux of dust particles in hydrogen helicon plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
900. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition of Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
901. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering fabrication of a novel widegap semiconductor ZnGaON for optoelectronic devices with wide bandgap for optical device, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
902. I. Suhariadi, K. Oshikawa, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Study on nitrogen desorption behavior of sputtered ZnO for transparent conducting oxide, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
903. A. Tanaka, M. Hirata, K. Koga, N. Itagaki, M. Shiratani, N. Hayashi, G. Uchida, Subacute toxicity of gallium arsenide, indium arsenide and arsenic trioxide following intermittent intrantracheal instillations to the lung of rats, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
904. M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida, A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
905. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited), 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
906. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on sapphire substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
907. G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
908. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization and photovoltaic application of Si nano-particles fabricated by multi-hollow plasma discharge chemical vapor deposition, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
909. N. Itagaki, K. Matsushima, I. Suhariadi, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Sputtering growth of single-crystalline ZnO-based semiconductors on lattice mismatched substrates (Invited), International Society for Optics and Photonics, Photonics West 2014, 2014.02.
910. D. C. Look, B. Wang, K. D. Leedy, D. B. Thomson, N. Itagaki, K. Matsushima, I. Surhariadi, Ultrathin ZnO films for transparent conductors and plasmonics, International Society for Optics and Photonics, Photonics West 2014, 2014.02.
911. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanoparticle composite plasma CVD films Fundamental and applications (Invited), The 9th EU-Japan Joint Symposium on Plasma Processing, 2014.01.
912. G. Uchida, H. Seo, D. Ichida, N. Itagaki, K, Koga, M. Shiratani, Solar cells using nanoparticles of group IV semiconductor aiming at MEG effects, 6th International Symposium on Innovative Solar Cells, 2014.01.
913. 押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, Ga添加酸化亜鉛薄膜に対する窒素添加結晶化法を用いた酸化亜鉛バッファー層の効果, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
914. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリング法による狭ギャップ半導体ZnInON膜の高品質結晶成長, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
915. 押川晃一郎, 板垣奈穂, 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 山下大輔, I. Suhariadi, マグネトロンスパッタ法による窒素添加結晶化バッファー層を用いた低抵抗In2O3:Sn薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
916. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDにおけるa-Si:H膜中クラスター混入量に対する振幅変調放電の効果, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
917. 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマを用いたⅣ族半導体ナノ粒子膜の堆積とそのデバイス応用 (Invited), 第16回プラズマエレクトロニクス分科会 プラズマ新領域研究会, 2013.12.
918. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Correlation between Plasma Fluctuation and Nanoparticle Amount in Initial Growth Phase in Reactive Plasmas with Amplitude Modulation, 14th Workshop on Fine Particle Plasmas, 2013.12.
919. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, LHD Experimental Group, Transport of Carbon Dust Particles Produced by Interactions between H2 Plasmas and Graphite Target, 14th Workshop on Fine Particle Plasmas, 2013.12.
920. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Ge nanoparticle composite films and their application to solar cells, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
921. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage of Multi-Hollow Silane Discharges on Amount of Clusters Formed in the Discharges, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
922. M. Shiratani, K. Koga, Y. Morita, S. Iwashita, H. Seo, K. Kamataki, N. Itagaki, G. Uchida, Time evolution of spatial profile of nanoparticle amount in amplitude modulated capacitively coupled reactive plasmas, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
923. 押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, Magnetron sputtering of low-resistive transparent conductive oxide films with double buffer layers fabricated via nitrogen mediated crystallization, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
924. I. Suhariadi, K. Oshikawa, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Morphological Characterization of ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization: Effects of Substrate Temperature, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
925. 板垣奈穂, 新規酸窒化物半導体を用いたピエゾ電界誘起量子井戸型太陽電池の創製, 第2回「太陽光と光電変換機能」領域公開シンポジウム, 2013.12.
926. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characteristics of crystalline Si nano-particles and their application for photochemical solar cells (Invited), 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
927. K. Koga, G. Uchida, D. Ichida, S. Hashimoto, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Quantum dot sensitized solar cells using group IV semiconductor nanoparticles (Invited), 2013 EMN Fall Meeting , 2013.12.
928. M. Shiratani, N. Itagaki., K. Matsushima, R. Shimizu, H. Seo, K. Koga, Novel metal oxinitride materials for optoelectronic applications (Invited), 2013 EMN Fall Meeting , 2013.12.
929. 古閑一憲, 森田康彦, 岩下伸也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, ナノ粒子成長に対するプラズマ摂動周波数の効果, プラズマ・核融合学会 第30回年会, 2013.12.
930. 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとグラファイト壁の相互作用により発生するダスト粒子の輸送, プラズマ・核融合学会 第30回年会, 2013.12.
931. M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, K. Kamataki, Nanostructure control of Si-based solar cells using plasma CVD (Invited), THERMEC 2013, 2013.12.
932. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFマグネトロンスパッタリング法を用いて作製したGeナノ粒子膜の特性, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
933. 鳥越祥宏, 橋本優史, 都甲将, 金淵元, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 振幅変調マルチホロー放電プラズマCVD法を用いた
水素化アモルファスシリコン薄膜の作製, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
934. 伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中で発生するナノ粒子量の時空間分布, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
935. 井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタ法による格子不整合基板上へのエピタキシャルZnO膜の作製:窒素添加結晶化バッファー層の効果, 平成25年度応用物理学会九州支部学術講演会, 2013.11.
936. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Control of plasma CVD films containing group IV nanoparticles (Invited), International Conference on Surface Engineering (ICSE 2013), 2013.11.
937. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani , Performance enhancement of Si quantum dot-sensitized solar cells based on Si functionalization and multi-layered structure , International Conference on Surface Engineering (ICSE 2013), 2013.11.
938. 板垣奈穂, ZnInON系太陽電池材料の探索 (招待講演), 第5回薄膜太陽電池セミナー, 2013.11.
939. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 高効率太陽電池のための狭バンドギャップZnInON膜の作成-[O]/([O]+[N])依存性-, 第5回薄膜太陽電池セミナー, 2013.11.
940. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 膜中クラスター退席分率のAM変調依存性, 第5回薄膜太陽電池セミナー, 2013.11.
941. H. Seo, M.-K. Son, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Dye and Si Quantum Dot Co-sensitized Solar Cells for Performance Enhancement, The 2nd International Conference on Advanced Electromaterials (ICAE 2013), 2013.11.
942. 板垣奈穂, 不純物添加結晶化法による酸化亜鉛の高品質成長と新規2次元材料への展開, 第29回九州山口プラズマ研究会, 2013.11.
943. K. Koga, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Deposition of Ge Nanoparticle Films and Their Application to Ge Quantum-dot Sensitized Solar Cells, The 23rd International Photovoltaic Science and Engineering Conference, 2013.11.
944. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective Removal of Clusters in Silane Plasmas Using Cluster Eliminating Filter, The 23rd International Photovoltaic Science and Engineering Conference, 2013.10.
945. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Size-controlled Ge Nanoparticle Film by Highpressure rf Magnetron Sputtering for Quantum Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
946. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocurrent Generation Characteristics of Ge Quantum-Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
947. K. Matsushima, R. Shimizu, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, PS+TF-MoA11 Two-dimensional Growth of Novel ZnO based Semiconductor ZnInON with Tunable Bandgap by Magnetron Sputtering, AVS 60th International Symposium and Exhibition, 2013.10.
948. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The performance enhancement of dye and quantum dot hybrid nanostructured solar cell with barrier coating, Taiwan Associatoin for Coatings and Thin Films Technology, 2013.10.
949. M. Shiratani, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, N. Itagaki, K. Koga, Cluster control plasma CVD for fabrication of stable a-Si:H solar cells, 66th Annual Gaseous Electronics Conference , 2013.10.
950. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Ar/N2 Magnetron Sputtering Discharges to Control Growth of Transparent Conducting Oxide Films, 66th Annual Gaseous Electronics Conference , 2013.10.
951. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster incorporation during amplitude modulated VHF discharge silane plasmas, 66th Annual Gaseous Electronics Conference , 2013.10.
952. R. Shimizu, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of ZnGaInON with tunable bandgap for photovoltaics, 66th Annual Gaseous Electronics Conference , 2013.10.
953. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in quantum-dot sensitized solar cells using Ge nanoparticle films, Solid State Devices and Materials 2013 (SSDM) , 2013.09.
954. N. Itagaki, K. Oshikawa, I. Suhariadi, K. Matsushima, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Crystallinity Control of Sputtered ZnO:Al Transparent Conducting Films by Utilizing Buffer Layers Fabricated via Nitrogen Mediated Crystallization, Solid State Devices and Materials 2013 (SSDM), 2013.09.
955. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
956. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, シリコン量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
957. 白谷正治, 森田康彦, 岩下伸也, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 鎌滝晋礼, ナノ粒子含有プラズマ系におけるナノ粒子成長, 日本物理学会2013年秋季大会, 2013.09.
958. 伊東鉄平, 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, ハイスピードカメラを用いたナノ粒子成長プロセスの観測, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
959. 金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で堆積したSiナノ粒子膜の光学的バンドギャップ制御, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
960. N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G.Uchida, K. Koga, M. Shiratani, Synthesis and Characterization of Oxynitride Semiconductor ZnInON with Tunable Bandgap, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
961. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Amplitude modulation frequency dependence of nanoparticle amount in plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
962. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, U. Czarnetzki, Carbon Nanostructure formed by high pressure methane plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
963. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Dependence of Volume Fraction of Si Clusters in Films on Amplitude Modulation Frequencyin Multi-Hollow Discharge Plasma CVD Method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
964. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of filter gap of cluster eliminating filter on cluster eliminating efficiency, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
965. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
966. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on structure of Ge nanoparticle films deposited by high-pressure RFmagnetron sputtering method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
967. M. Shiratani, D. Yamashita, K. Koga, K. Kamataki, N. Itagaki, G. Uchida, Plasma Etching of Single Fine Particle Trapped By Optical Tweezers, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
968. G. Uchida, D. Ichida, Y. Kanemitsu, H. Seo, K. Kamataki, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Production of SiC nanoparticles for Li-ion battery applications by using a high pressuremulti-hollow discharge plasma CVD, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
969. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time Evolution of Ar Emission Intensities of Ar + H2 + Toluene Plasmas in H-assistedPlasma CVD Reactor, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
970. 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子量空間分布の変調周波数依存性, 第74回応用物理学会秋季学術講演会, 2013.09.
971. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Band-Gap Engineering of ZnO Based Semiconductors Deposited by Sputtering, 2013 JSAP-MRS Joint Symposia, 2013.09.
972. 市田大樹, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリング法を用いた結晶Geナノ粒子膜の堆積, 第74回応用物理学会秋季学術講演会, 2013.09.
973. 橋本優史, 都甲将, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, シラン放電プラズマ初期のクラスター発生と膜への取り込み , 第74回応用物理学会秋季学術講演会, 2013.09.
974. K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki and G. Uchida, Comparative Acute Pulmonary Toxicity of Different Types of Indium-Tin Oxide Following Intermittent Intratracheal Instillation to the Lung of Rats, 2013 JSAP-MRS Joint Symposia, 2013.09.
975. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInON膜の作製-Ar分圧依存性-, 第74回応用物理学会秋季学術講演会, 2013.09.
976. 都甲将, 金淵元, 橋本優史, 金光善徳, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマからのクラスター流出量のガス流速依存性 , 第74回応用物理学会秋季学術講演会, 2013.09.
977. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Si Quantum Dot-Sensitized Solar Cells on Counter Electrode, 2013 JSAP-MRS Joint Symposia, 2013.09.
978. 古閑一憲, 橋本優史, 金淵元, 都甲将, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, クラスタ抑制法を用いた高光安定アモルファスシリコンPIN太陽電池の作製, プラズマ研究会, 2013.09.
979. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Contribution of ionic deposition precursors to Si thin film deposition, Dry Process Symposium 2013, 2013.08.
980. Y. Kim, S. Toko, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Effects of amplitude modulation of rf discharge voltage on silane plasmas in initial phase, Dry Process Symposium 2013, 2013.08.
981. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Deposition of Ge nanoparticle films by high-pressure rf magnetron sputtering method for quantum dot solar cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
982. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani and N. Hayashi, Effects of atmospheric air plasma treatments of seeds on plant growth, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
983. R. Shimizu, K. Matsushima, Tadashi Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of ZnInON films with Tunable Bandgap for Heterojunction Solar Cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
984. N. Itagaki, Novel Approach to Sputtering Growth of Single Crystalline Oxide Semiconductors for Optoelectronic Applications (Invited), The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
985. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Time evolution of spatial profile of nanoparticle amount in reactive plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
986. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. Nam, J. Boo, Performance Enhancement of Si Quantum Dot-sensitized Solar Cell Using vanadium Doped TiO2, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
987. M. Shiratani, K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki, G. Uchida, Safety Issues on Plasma Life Sicences (Invited), The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
988. M. Shiratani, Y. Morita, S. Iwashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Tuning Size Distribution of Nanoparticles Formed in Reactive Plasmas using Plasma Parameter Modulation, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
989. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Characteristics of GeSn nanoparticle films deposition by high-pressure rf magnetron sputtering method, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
990. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, M. Shiratani and U. Czarnetzki, Formation of self-organized nanostructures using high pressure CH4+Ar plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
991. 板垣奈穂, グリーン時代を切り拓く革新的エレクトロニクス材料の創製~極低消費電力トランジスタおよび次世代型太陽電池の実現に向けて~, 2013年度先端サマーセミナー(第5回研究活動交流会), 2013.08.
992. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Coreshell nanoparticles generated by plasma CVD and their applications to Li ion batteries, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
993. Y. Morita, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, D. Yamashita and M. Shiratani, Observation of nanoparticle growth process using high speed camera, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
994. N. Itagaki, Novel Application of Ar/N2 Discharges to Sputtering Growth of High Quality Oxide Semiconductors (Invited), The XXXI edition of the International Conference on Phenomena in Ionized Gases (ICPIG), 2013.07.
995. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Combinatorial plasma CVD of Si nanoparticle composite films for band gap control, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
996. M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga, Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
997. D. Ichida, G. Uchida, H. Seo, K. Kamatakia, N. Itagaki, K. Koga, M. Shiratani, Effects of H2 gas addition on structure of Ge nanoparticle films deposited by high-pressure rf magnetron sputtering method, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
998. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, U. Czarnetzki, High Pressure Nonthermal Methane Plasmas for Nanoparticle Production, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
999. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani, Infrared Laser Manipulation of Single Fine Particle Levitated at Plasma/Sheath Boundary, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1000. Y. Morita, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Transport of nanoparticles produced in reactive plasmas using a positively-biased collector, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1001. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of grid DC bias on incorporation of Si clusters into amorphous silicon films by multi-hollow discharge plasma CVD, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1002. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group d, S. Bornholdte, H. Kersten, Effects of plasma incident angle of dust production due to interactions between hydrogen plasmas and carbon wall, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1003. I. Suhariadi, K. Oshikawa, H. Seo, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Study on the crystal growth mechanism of ZnO films fabricated via nitrogen mediated crystallization, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
1004. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Layer by layer deposition of ZnO buffer layers fabricated via nitrogen mediated crystallization for ZnO:Al transparent conducting oxide, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
1005. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, and M. Shiratani, Sputter Deposition of Single Crystal ZnO Films on 18% Lattice mismatched c-Al2O3 Substrates via Nitrogen Mediated Crystallization, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
1006. N. Itagaki, K. Kuwahara, K. Matsushima, K. Oshikawa, and I. Suarihadi, Sputter Deposition of Semiconductor-Grade ZnO Based Materials on Lattice Mismatched Substrates (Invited), The Collaborative Conference on Materials Research 2013, 2013.06.
1007. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis on the paint-type Si quantum dot-sensitized solar cells
, International Symposium on Green Manufacturing and Applecations, 2013.06.
1008. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, and K. Kamataki, Control of nanostructure of plasma CVD Si thin films (Invited), Japan-Australia Workshop on Gaseous Electronics and Its Applications (JAWS25), 2013.06.
1009. 板垣奈穂, スパッタリングにおけるZnNOx膜の初期成長制御とグリーンデバイスへの展開 (招待講演), 第112回研究会(153委員会,154委員会,131委員会合同研究会)プラズマ材料科学に基づいた薄膜形成と新プロセスの創出, 2013.06.
1010. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust flux control in high density hydrogen plasmas using DC biased substrates, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1011. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Volume fraction of clusters in Si thin films in initial deposition phase of plasma CVD, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1012. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Advance in quantum dot-sensitized solar cells using group IV semiconductor nano-particles (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1013. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and K. Koga, Advanced plasma sources and processes for energy harvesting devices (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
1014. 板垣奈穂, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 高効率太陽電池の実現に向けた新規酸窒化物半導体ZnInONのバンドギャップエンジニアリング, 第10回 「次世代の太陽光発電システム」シンポジウム, 2013.05.
1015. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanostructure of plasma CVD films containing nanoparticles (Invited), International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2013), 2013.04.
1016. 金淵元, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Silicon cluster volume fraction of silicon thin films prepared by multi-hollow plasma discharge CVD, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1017. 内田儀一郎, 王玉亭, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子膜を用いたSi/PEDOT:PSS太陽電池の特性評価 , 2013年第60回応用物理学会春季学術講演会, 2013.03.
1018. 板垣奈穂, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, スパッタ法によるC面サファイア基板上への原子平坦ZnO薄膜の作製, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1019. 古閑一憲, 森田康彦, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 振幅変調放電プラズマ中のナノ粒子成長初期におけるナノ粒子量の時空間分布, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1020. 松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInONの作製, 2013年第60回応用物理学会春季学術講演会, 2013.03.
1021. D. C. Look, M. S. Allen, J. W. Allen, N. Itagaki, K. Matsushima, I. Surhariadi, Infrared Plasmonics via ZnO (Keynote lecture), 4th Mexican Workshop on Nanostructured Materials, 2013.03.
1022. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Fluctuation in Plasma Processes (Invited), 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
1023. D. C. Look, Kevin D. Leedy, Arnold M. Kiefer, Bruce B. Claflin, N. Itagaki, K. Matsushima, I. Suhariadi, Model for Thickness dependence of mobility and concentration in highly conductive ZnO, SPIE-Int. Soc. Opt. Photonics, Photonics West (2013), 2013.02.
1024. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of zinc-indium oxynitride semiconductors with narrow bandgap for excitonic transistors, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1025. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, Cycle characteristics of lithium ion batteries using Si/SiC core-shell nanoparticle anode, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1026. Y. Hashimoto, K. Hatozaki, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of Voc of a-Si:H solar cells on distance between discharge and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1027. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, and N. Itagaki, Effects of deposition temperature on properties of epitaxial ZnInON films for solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1028. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Effects of Nitrogen on Crystal Growth of Sputter-Deposited ZnO Films for Transparent Conducting Oxide, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1029. G. Uchida, Y. Wang, H. Seo, D. Ichida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Energy conversion efficincy of Si nanoparticle/PEDOT:PSS qunatum-dot solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1030. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and The LHD Experimental Group , Evaluation of etching effects due to H+ ions on dust transport using local bias potential, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1031. Y. Wang, D. Ichida, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Properties and Performance of C-Si/Si QDs/organic Heterojunction Solar Cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1032. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Sanghoon Nam and Jin-Hyo Boo, The improvement on the electron transfer of dye-sensitized solar cell using vanadium doped TiO2, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
1033. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Dependence of performance of p-i-n a-Si:H solar cells using stable a-Si:H films on distance between discahrges and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1034. K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Spatio-temporal structure of growth of nano-particles with without amplitude modulation in reactive plasmas, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1035. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of silcion cluster volume fraction in silicon thin films measured with quartz crystal microbalances, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1036. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, Time evolution of nanoparticle size in reactive plasmas: comparison between theory and experiments, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
1037. H. Seo, Y. wang, D. Ichida, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The fabrication of paint-type Si quantum dot-sensitized solar cells and ZnS post-treatment (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1038. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of atomically-flat ZnO films on lattice mismatched substrates via nitrogen mediated crystallization (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1039. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition rate dependence of silicon cluster volume fraction in silicon thin films deposited by multi-hollow plasma discharge CVD, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1040. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for MQW solar cells by RF magnetron sputtering, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1041. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Tuning nanostructures of plasma CVD films (Plenary), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
1042. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子/PEDOT:PSSを用いた量子ドット太陽電池の作製, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1043. 森田康彦, 鎌滝晋礼, 内田儀一郎, 金淵元, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己, ダブルマルチホロープラズマCVDを用いたリチウムイン電池用SiCナノ粒子膜のコンビナトリアル生成, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1044. 金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜のバンドギャップ制御, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1045. 白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄, 反応性プラズマ中の微粒子成長速度の時空間相関, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
1046. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Etching Effects of Hydrogen Ions on Dust Collection Using Local Bias Potential, 2013 International Symposium on Information Science and Electrical Engineering, 2013.01.
1047. 橋本優史, 波戸崎浩介, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, SiH4マルチホロー放電プラズマの発光分光計測, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1048. 廣瀬忠史, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリング法による高効率量子井戸型太陽電池のための狭バンドギャップ酸窒化物半導体の作製, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1049. 金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝普礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜の光学特性, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1050. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いたSiナノ粒子膜の堆積と有機・無機ハイブリッド型太陽電池への応用, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1051. 古閑一憲, 岩下伸也, 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 重水素ヘリコンプラズマとグラファイト壁の相互作用により発生したダストの捕集, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1052. 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 大型ヘリカル装置の主放電時に発生するダストの局所バイアス電圧を用いた除去, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1053. 白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄, 反応性プラズマとナノ構造の相互作用の長距離相関モデル, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1054. 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中でのナノ粒子成長の時空間分布, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
1055. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Electrochemical impedance analysis on the additional layers for the enhancement on the performance of dye-sensitized solar cell, 10th International Conference on Nano-Molecular Electronics, 2012.12.
1056. G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, T. Ishihara, and M. Shiratani, Application of Si nanoparticles to energy devices: quantum-dot solar cells and Li ion batteris (Invited), The 69th IUVSTA Workshop, 2012.12.
1057. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga , A simplified model of a relationship between plasma fluctuation and size distribution of nanoparticles formed in reactive plasmas, 第13回微粒子プラズマ研究会, 2012.12.
1058. K. Koga, Y. Wang, D. Ichida, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Deposition of Si nanoparticle composite films for C-Si/Si QDs/organic Solar Cells, 第13回微粒子プラズマ研究会, 2012.12.
1059. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagar2, and the LHD Experimental Group, Dust Collection on DC Biased Substrates during Glow Discharges in the Large Helical Device, 第13回微粒子プラズマ研究会, 2012.12.
1060. M. Tateishi, S. Iwashita, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust particle formation due to interaction between deuterium helicon plasmas and graphite wall, 第13回微粒子プラズマ研究会, 2012.12.
1061. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, SiC/Si nanoparticle anode of lithium ion batteries fabricated using double multi-hollow plasma CVD, 第13回微粒子プラズマ研究会, 2012.12.
1062. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Si ナノ粒子/PEDOT:PSS 量子ドット太陽電池のエネルギー変換効率, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1063. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, ヘリコンプラズマ装置における捕集基板上ダストへのエッチング効果の考察, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1064. 押川晃一郎, I. Suhariadi, 桑原和成, 山下 大輔, 徐 鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化バッファー層を用いた低抵抗ZnO:Al薄膜の作製: バッファー層形成時における酸素供給量の効果, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1065. 松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 低温スパッタリング法による高効率量子井戸型太陽電池のための新規酸窒化物半導体ZnInONの形成, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
1066. 古閑一憲, 岩下伸也, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, グラファイトと重水素プラズマの相互作用で発生したダスト捕集, プラズマ・核融合学会 第29回年会, 2012.11.
1067. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, ダイバータシミュレータで発生したカーボンダストの生成と輸送の放電電力の効果, プラズマ・核融合学会 第29回年会, 2012.11.
1068. 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 白谷正治, 放電電力変調反応性プラズマにおけるナノ粒子成長の時空間構造の観測, プラズマ・核融合学会 第29回年会, 2012.11.
1069. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 局所バイアス電圧印加基板で捕集したカーボンダスト量に対する水素プラズマエッチングの効果, プラズマ・核融合学会 第29回年会, 2012.11.
1070. N. Itagaki, K. Kuwahara, K. Matsushima, T. Hirose, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Epitaxial Growth of ZnO Based Semiconductors via Impurity-Additive Mediated Crysallization, 2012 MRS Fall Meeting, 2012.11.
1071. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors, The 34th International Symposium on Dry Process , 2012.11.
1072. Y. Wang, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of c-Si/organic heterojunction solar cells by using Si quantum dots, The 34th International Symposium on Dry Process , 2012.11.
1073. 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 白谷正治, プラズマプロセスにおける揺らぎのダイナミックス, 九州山口プラズマ研究会、応物新領域研究会, 2012.11.
1074. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of ZnInON Films for Piezo-Electric-Field Effect MQW Solar Cells, AVS 59th International Symposium & Exhibition, 2012.11.
1075. Y. Morita, K. Kamataki, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4/H2 and CH4 multi-hollow discharge plasma CVD of SiC nano-composite anode for high charge-discharge capacity lithium ion batteries, AVS 59th International Symposium & Exhibition, 2012.11.
1076. K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of atomically smooth ZnO films with buffer layers crystallized via nitrogen mediation, AVS 59th International Symposium & Exhibition, 2012.11.
1077. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Long-range correlation of nanoparticle growth in in low pressure reactive VHF discharge plasmas, 54th Annual Meeting of the APS Division of Plasma Physics (DPP), 2012.10.
1078. G. Uchida, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in Si quantum-dot sensitized solar cells, AVS 59th International Symposium & Exhibition, 2012.10.
1079. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Impacts of plasma fluctuation on growth of nanoparticles in low pressure reactive VHF discharge plasmas, 65th Annual Gaseous Electronics Conference (GEC), 2012.10.
1080. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Control of Dust Flux in LHD and in a Divertor Simulator, 24th Fusion Energy Conference (IAEA) , 2012.10.
1081. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, Fabrication of ZnO Buffer Layers via Nitrogen-Mediated Crystallization for ZnO:Al Transparent Conducting Oxide: Effects of Oxygen Addition, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1082. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of amount of Si clusters incorporated during film deposition using quartz crystal microbalances, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1083. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Thin Films for Multiple-Quantum–Well Solar Cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1084. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, Control of size distribution of nanoparticles produced in reactive plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1085. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for solar cell application by N2/Ar sputtering, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1086. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, High capacity lithium ion batteries using SiC nanoparticles, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1087. K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of epitaxial ZnO films: effects of O2 partial pressure, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1088. Y. Hashimoto, K. Hatozaki, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Temperature dependence of fill factor of a-Si:H Schottky cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1089. D. Yamashita, K. Koga, S. Kitazaki, K. Kamataki, G. Uchida, N. Itagaki, M. Shiratani, Time evolution of diameter of laser trapped single dust particle in plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1090. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Control Of ZNO Nano-Rod With Various Seeds And Photovoltaic Application, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1091. G. Uchida, N. Sadegh, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Temporal Evolution Of Number Density Of Ar Metastable Atoms In Dusty Plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
1092. N. Itagaki, K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Semiconductors for Piezo-Electric-Field Effect MQW Solar Cells, IUMRS‐ICEM 2012 , 2012.09.
1093. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using a cluster eliminating filter, IUMRS‐ICEM 2012 , 2012.09.
1094. Y. Wang, J. Boo, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of V-doped TiO2 on Performance Si QDSCs, IUMRS‐ICEM 2012 , 2012.09.
1095. H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Enhancement of light harvesting efficiency with multi-layered electrode of Si quantum dot-sensitized solar cells, IUMRS‐ICEM 2012 , 2012.09.
1096. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Zn-In-ON films with tunable optical bandgap for photovoltaic applications, IUMRS‐ICEM 2012 , 2012.09.
1097. I. Suhariadi, K. Oshikawa, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO Films via Nitrogen-Mediated Crystallization as Buffer Layers for ZnO:Al Transparent Conducting Oxide, IUMRS‐ICEM 2012 , 2012.09.
1098. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Hydrogenated Amorphous Silicon Solar Cells Fabricated by a Multi-hollow Discharge Plasma CVD Method, IUMRS‐ICEM 2012 , 2012.09.
1099. K. Kuwahara, N. Itagaki, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, ZnO films with buffer layers crystallized via nitrogen mediation: effects of thickness of buffer layers, IUMRS‐ICEM 2012 , 2012.09.
1100. G. Uchida, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, An infrared laser trap of a single dust particle for study of plasma-surface interactions , IUMRS‐ICEM 2012 , 2012.09.
1101. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si quantum-dot thin films for solar cell applications using multi-hollow discharge plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
1102. K. Kamataki, M. Shiratani, T. Ishihara, H. Nagano, Y. Morita, K. Kuwahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, High Capacity Li Ion Battery Anodes Using Silicon Carbide Nanoparticles Produced by Double Multi-Hollow Discharge Plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
1103. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances, IUMRS‐ICEM 2012 , 2012.09.
1104. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Selective deposition of nanoparticles to valleys of texture substrates , IUMRS‐ICEM 2012 , 2012.09.
1105. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, A Theoretical Model of a Relationship between Plasma Fluctuation and Nanostructure Fluctuation, IUMRS‐ICEM 2012 , 2012.09.
1106. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, In-situ Measurements of Size Distribution of Nanoparticles Formed in Reactive Plasmas Using a Laser Light Scattering Method, IUMRS‐ICEM 2012 , 2012.09.
1107. 市田大樹, 王玉亭, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, Si量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化, 第6回プラズマエレクトロニクスインキュベーションホール, 2012.09.
1108. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑 一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, the LHD Experimental Group, S. Bornholdt, H. Kersten, プラズマ壁相互作用により発生するカーボンナノダストの生成に関する実験, 第6回プラズマエレクトロニクスインキュベーションホール, 2012.09.
1109. 廣瀬忠史, 松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、板垣奈穂、 古閑一憲、 白谷正治, 高効率量子井戸型太陽電池のための新規窒化物半導体薄膜の作製 , 第6回プラズマエレクトロニクスインキュベーションホール, 2012.09.
1110. K. Koga, D. Yamashita, S. Kitazaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Optical Trapping of Single Fine Particle in Plasmas for study of interactions between a fine particle and plasmas, NANOSMAT 2012, 2012.09.
1111. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on the fabrication of paint-type Si quantum dot-sensitized solar cells, International Conference on Electronic materials and Nanotechnology for Green Environment (ENGE 2012), 2012.09.
1112. K. Koga, T. Urakawa, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile and properties of plasma CVD carbon films, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
1113. N. Itagaki, I. Suhariadi, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
1114. 森田康彦, 鎌滝晋礼, 内田儀一郎, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己 , 大容量リチウムイオン電池用プラズマCVD生成SiCナノ粒子負極の開発, 第73回応用物理学会学術講演会, 2012.09.
1115. 内田儀一郎, 鎌滝晋礼, 森田康彦, H. Seo, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治, 微粒子プラズマ中におけるAr準安定粒子密度の空間分布計測, 第73回応用物理学会学術講演会, 2012.09.
1116. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, N. Hayashi, Growth Enhancement of Plants by Combinatorial Plasma Irradiation, The 9th International Bioelectrics Symposium (BIOELECTRICS 2012) , 2012.09.
1117. H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki and M. Shiratani, The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si, International Union of Materials Research Society – International Conference in Asia – 2012 (IUMRS-ICA-2012) , 2012.08.
1118. M. Shiratani, N. Itagaki, K. Koga, Uchida G., K. Kamataki, H. Seo, Nanostructure control of thin films depoisted by plasmas and its application to fabrication of green energy devices (Invited), (APT2012) The 2nd Advanced Plasma Technology for Green Energy and Biomedical Applications, 2012.08.
1119. G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si nano-particle films for Si quantum-dots sensitized solar cells, The 6th International Conference on Technological Advances of Thin Films and Surface Coating, 2012.07.
1120. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Impacts of plasma fluctuation on nanoparticle growth in reactive plasmas, IEEE ICOPS2012, 2012.07.
1121. S. Bornholdt, K. Kuwahara, N. Itagaki, H. Wulff, M. Shiratani, H. Kersten, Investigations on the energy balance of the substrate during ZnO magnetron sputtering, 39th European Physical Society Conference on Plasma Physics, 16th International Congress on Plasma Physics , 2012.07.
1122. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, ダイバータシミュレータ中のカーボンナノダストの生成と輸送に関する実験, 第9回核融合エネルギー連合講演会, 2012.06.
1123. G. Uchida, K. Kamataki, H. Seo, Y. Morita, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Application of SiC nanoparticle films to energy conversion devices: lithium ion batteries and quantum-dot solar cells (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1124. Y. Hashimoto, K. Hatozaki, Y. Kim, G.u Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films with a cluster eliminating filter, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1125. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON films with high crystallinity for photovoltaic applications, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1126. Y. Morita, K. Kamataki, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, M. Shiratani, Observation of growth of nano-particles using a high speed camera, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1127. H. Seo, Y. wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The fabrication of multi-layered Si quantum dot-sensitized solar cells for better light harvesting (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
1128. 板垣奈穂、松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、古閑一憲、白谷正治, 高効率量子井戸型太陽電池のための新規酸窒化物半導体薄膜の作製, 第9回「次世代の太陽光発電システム」シンポジウム, 2012.05.
1129. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki,, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge Power Dependence of Carbon Dust Flux in a Diverter Simulator, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
1130. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of Substrate DC Bias Voltage on Dust Collection Efficiency in Large Helical Device, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
1131. M. Shiratani, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition, 2012 MRS Spring Meeting, 2012.04.
1132. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Study for Chracterization of Nanoparticle-Suppressed Plasma CVD for Microcrystalline Film Deposition, 2012 MRS Spring Meeting, 2012.04.
1133. H. Seo, Y. Kim, M. Sato, Y. Wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The analysis on the optical properties of size-controllable Si nano-particles by multi-hollow plasma discharge CVD, 2012 MRS Spring Meeting, 2012.04.
1134. N. Itagaki, Piezo-electric-field effect MQW solar cells based on novel oxynitride semiconductors, 日本化学会第92春季年会(2012), 2012.03.
1135. K. Koga, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Effects of incorporation of clusters generated in the plasma ignition phase on Schottky cell performance of amorphous silicon films, The Fourth International Workshop on Thin-Film Silicon Solar Cells (IWTFSSC-4), 2012.03.
1136. 浦川達也, 鳥越隆平, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝, プラズマ異方性CVDカーボン膜の硬度のイオンエネルギー依存性, 第59回応用物理学関係連合講演会, 2012.03.
1137. 板垣奈穂, I. Suhariadi, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化バッファー層によるZnO:Al 薄膜の結晶性制御: 窒素供給量の影響, 第59回応用物理学関係連合講演会, 2012.03.
1138. Y. Kim, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Effects of Silicon Nano-particles on Properties of Microcrystalline Silicon Thin Films Frabricated using Multi-hollow Discharge CVD Plasmas, 第59回応用物理学関係連合講演会, 2012.03.
1139. 橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 徐絃雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたP ドープn 型a-Si:H の製膜, 第59回応用物理学関係連合講演会, 2012.03.
1140. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, L H D実験グループ, ダストの生成に対する熱流束の影響とダストフラックスの制御, 第59回応用物理学関係連合講演会, 2012.03.
1141. 白谷正治, 鎌滝晋礼, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, プラズマ揺らぎとプラズマ生成ナノ粒子のサイズ分布実験と理論, 第59回応用物理学関係連合講演会, 2012.03.
1142. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 高安定a-Si:H 膜を用いたショットキーセル特性の光照射時間依存性, 第59回応用物理学関係連合講演会, 2012.03.
1143. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子量子ドット増感型太陽電池電極の最適化, 第59回応用物理学関係連合講演会, 2012.03.
1144. 内田儀一郎, 佐藤宗治, 徐鉉雄, 王玉亭, 鎌滝普札, 板垣奈穂, 古閑一憲, 白谷正治, 窒化Si ナノ粒子膜を用いた量子ドット増感型太陽電池の効率波長依存性, 第59回応用物理学関係連合講演会, 2012.03.
1145. K. Koga, K. Kamataki, S. Nunomura, S. Iwashita, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, U. Czarnetzki, Three growth modes of nanoparticles generated in reactive plasmas, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
1146. S. Bornholdt, N. Itagaki, K. Kuwahara, H. Wulff, M. Shiratani, H. Kersten, Energy balance at the substrate during magnetron sputter deposition of ZnO, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
1147. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Plasma fluctuation and plasma nanotechnologies (Invited), The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
1148. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Properties of microcrystalline silicon thin films with/without silicon nanoparticles deposited by multi-hollow plasma CVD, The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
1149. M. Shiratani, K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, A-Si:H Schottky Cells with Quite Low Light Induced Degradation Fabricated by Multi-hollow Discharge Plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1150. I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, K. Nakahara, D. Yamashita, H. Seo, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effect of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1151. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Etching rate of carbon films deposited by H-assisted plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1152. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Interaction between amplitude modulated plasmas and nanoparitcles growth in the plasmas, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1153. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Chracteristics of Nanoparticle-supressed CVD Plasmas for Microcrystalline Silicon Film Deposition, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1154. H. Seo, M. Sato, Y. Wang, Y. Kim, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the adhesion of Si nano-particles for Si quantum dot-sensitized solar cells, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1155. G. Uchida, Y. Wang, M. Sato, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Control of dust-particle transportation in multi-frequency capacitively coupled radio frequency discharge, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1156. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. MasuzakiI, K. Nishimura, A. sagara, The LHD Expreimental Group, S. Bornholdt, H. Kersten, Mesurements of energy influx towards a graphite target in helicon H2 discharge plasmas using a calorimetric probe , 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
1157. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Impacts of plasma fluctuation on nanostructure formation using plasmas (Invited), The Third International Symposium on Plasma Nanoscience (iPlasmaNano-III), 2012.02.
1158. 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, プラズマとナノ界面の相互作用の制御, 東北大学電気通信研究所共同プロジェクト研究会, 仙台"プラズマフォーラム", 2012.02.
1159. N. Itagaki, K. Kuwahara, K. Matsushima, K. Oshikawa , Novel fabrication method for ZnO films via nitrogen-mediated crystallization (Invited), SPIE (International society for optics and photonics) photonics west 2012, 2012.01.
1160. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of carbon films on fine trench using low temperature H-assisted plasma CVD method, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
1161. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of nano-particles on multi-hollow discharge CVD plasmas for microcrystalline silicon thin film deposition, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
1162. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films with a cluster eliminating filter, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1163. K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, M. Sato, H. Matsuzaki, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Deposition of p-type a-Si:H using SiH4+ B10H14 multi-hollow discharge plasma CVD as a window layer for pin cell, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1164. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trench structure using plasma anisotropic CVD method, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1165. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge power dependence of dust flux in helicon discharge reactor, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1166. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust Removal by Applying Bias Voltage to Reactor Wall in Large Helical Device, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1167. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, Effect of N2/Ar Flow Rate Gas Ratio on the AZO Thin Films with Buffer Layers Deposited via Nitrogen Mediated Crystallization, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1168. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of deposition temperature on the properties of ZnO films fabricated via nitrogen mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1169. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of Nano-particles on Properties of Microcrystalline Silicon Thin Films Fabricated using Multi-hollow Discharge CVD Plasmas, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1170. T. Matsunaga, Y. Kim, K. Koga, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Effects on nano-patricls on crystalline orientation of microcrystalline silicon films for solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1171. K. Matsushima, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Novel Oxynitride Semiconductors by Magnetron Sputtering for Photovoltaic application, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1172. K. Oshikawa, I. Suhariadi, K. Kuwahara, D. Yamashita, Seo H., G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO-Based Transparent Conducting Films on Buffer Layers Crystallized via Nitrogen Mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1173. K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, High stabilization of a-Si:H films by discharge plasma control, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1174. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Optimization of Redox Electrolyte for Higher Performance of Si Quantum Dot-sensitized Solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1175. M. Shiratani, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, Recent progress in frontier science of interactions between plasmas and nano-interfaces (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1176. H. Seo, M. Sato, Y. wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the combination between TiO2 and Si nano-particles for higher performance of Si quantum dot-sensitized solar cells (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
1177. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Performance of quantum dot sensitized solar cells with nitridated Si nanoparticle films, 第21回日本MRS学術シンポジウム, 2011.12.
1178. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio, 第21回日本MRS学術シンポジウム, 2011.12.
1179. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dot-sensitized Solar Cells by Surface Modification Using ZnO barrier layer and 400nm TiO2 Particles, 第21回日本MRS学術シンポジウム, 2011.12.
1180. M. Shiratani, K. Nakahara, K. Hatozaki, H. Seo, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Stable a-Si:H Schottky Cells Fabricated by Multi-hollow Discharge Plasma CVD, 第21回日本MRS学術シンポジウム, 2011.12.
1181. 鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマにおけるナノ粒子生成に対する放電電力摂動の効果, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
1182. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, クラスタ取込抑制マルチホロー放電プラズマCVD法で作製した光安定水素化アモルファスシリコン薄膜のショットキーセル特性, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
1183. 森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 徐鉉雄, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. BORNHOLDT, H. KERSTEN, 水素プラズマ-カーボン壁相互作用によるダスト生成に対する壁への熱流速の影響, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
1184. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, 水素プラズマのグラファイト壁への熱フラックスとダスト生成, PWI合同研究会, 2011.12.
1185. N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
1186. T. Matsunaga, Kim Y., K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
1187. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
1188. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, A study of optical emission spectroscopy on microcrystalline silicon film using multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1189. K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1190. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, H. Seo, G. Uchida, K. Koga, M. Shiratani, Properties and performance of si quantum dot-sensitized solar cells with low temperature titania paste, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
1191. M. Shiratani, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Control of size distribution of nanoparticles in reactive plasmas by using AM rf discharges, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
1192. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of substrate bias voltage on dust collection efficiency, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
1193. 森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHDおよびLHDを模擬したヘリコン装置における水素ガスとグラファイトの相互作用により生じたダストの基板へのフラックスの基板バイアス電圧依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
1194. 橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたP ドープa-Si;H の製膜ドープ量依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
1195. 松島宏一, 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化法により作製したエピタキシャル酸化亜鉛薄膜の特性の成膜温度依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
1196. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, Ar/N2マグネトロンスパッタによる低抵抗ZnO:Al膜の作製, Plasma Conference 2011 (PLASMA2011) , 2011.11.
1197. K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD, Plasma Conference 2011 (PLASMA2011), 2011.11.
1198. 中原賢太, 波戸﨑浩介, 橋本優史, 松永剛明, 佐藤宗治, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたクラスターフリー水素化アモルファスシリコンの製膜, Plasma Conference 2011 (PLASMA2011), 2011.11.
1199. 桑原和成, 中原賢太, 山下大輔, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリングプラズマへの窒素ガス添加のエピキシャルZnO薄膜物性に対する効果, Plasma Conference 2011 (PLASMA2011), 2011.11.
1200. 白谷正治, 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, プラズマCVDで成膜したSi薄膜へのナノ粒子含有の効果, Plasma Conference 2011 (PLASMA2011), 2011.11.
1201. 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマで作製した微結晶シリコン膜へのラジカルフラックス評価, Plasma Conference 2011 (PLASMA2011), 2011.11.
1202. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 高速ガス流マルチホロープラズマCVD法を用いた高光安定クラスタフリーa-Si:H膜の作製, Plasma Conference 2011 (PLASMA2011), 2011.11.
1203. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD 実験グループ, S. Bornholdt, H. Kersten, 高密度水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるカーボンダスト粒子の局所バイアス電圧印加による捕集, Plasma Conference 2011 (PLASMA2011), 2011.11.
1204. 松永剛明, 金淵元, 古閑一憲, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 微結晶シリコン薄膜作製用マルチホロー放電プラズマに対するナノ粒子の影響, Plasma Conference 2011 (PLASMA2011), 2011.11.
1205. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Si量子ドット増感型太陽電池の電解液最適化, Plasma Conference 2011 (PLASMA2011), 2011.11.
1206. 古閑一憲, 浦川達也, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝, 低温プラズマ異方性CVDを用いた微細トレンチ上面への自己組織カーボンマスク形成, Plasma Conference 2011 (PLASMA2011) , 2011.11.
1207. M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trenched substrate by simultaneous plasma CVD and plasma etching, 64th Gaseous Electronics Conference , 2011.11.
1208. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface modification using ZnO barrier layer, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
1209. M. Shiratani, Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, Combinatorial Si Film Deposition by Multihollow Discharge Plasma CVD, 第15回薄膜国際会議 (ICTF-15), 2011.11.
1210. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化法による超均一・低抵抗酸化亜鉛薄膜の作製 , 第27回九州・山口プラズマ研究会(兼応用物理学会九州支部シンポジウム「プラズマ計測とその応用 ナノプロセスから環境まで」), 2011.11.
1211. M. Shiratani, G. Uchida, M. Sato, Y. Wang, K. Koga, N. Itagaki, Quantum dot sensitized solar cells using nanoparticles of Si compounds fabricated by multihollow discharge plasma CVD, AVS 58th International Symposium & Exhibition , 2011.11.
1212. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, M. Shiratani, Impacts of Plasma Fluctuations in Reactive Plasmas (Invited), BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1213. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池, 第3回薄膜太陽電池セミナー, 2011.10.
1214. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1215. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1216. 鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, プラズマCVD中におけるナノ粒子成長に対するプラズマ揺らぎの効果, プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念特別シンポジウム, 2011.10.
1217. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films for Quantum Dot Sensitized Solar Cells, Low Carbon Earth Summit (LCES-2011), 2011.10.
1218. 白谷正治, 鎌滝晋礼, 西山雄士, 古閑一憲, 内田儀一郎, 板垣奈穂, 高周波放電のAM変調によるナノ粒子サイズ分布の制御, 平成23年度(第64回)電気関係学会九州支部連合大会, 2011.09.
1219. M. Shiratani, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Deposition of quantum dot thin films using Si nanoparticles with surface nitridation (Invited), The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1220. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Effects of Si nano-particle incorporation on properties of microcrystalline silicon thin films studied by multi-hollow discharge CVD, The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1221. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Spatial distribution of resistivity of ZnO:Al thin films fabricated on solid-phase crystallized seed layers, European Material Research Society 2011 Fall Meeting (E-MRS), 2011.09.
1222. 王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
1223. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, エピタキシャル酸化亜鉛薄膜作製における窒素添加効果, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
1224. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるナノダストの基板へのフラックスの基板バイアスによる制御, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
1225. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Frontier science of interactions between plasmas and nano‐interfaces (Plenary), (ICPAT2011)4th International Conference on Advanced Plasma Technologies, 2011.09.
1226. 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ZnO:Al薄膜の抵抗率の面内均一性に対する固相結晶化シード層の効果, 第72回応用物理学会学術講演会, 2011.09.
1227. 中原賢太, 波戸﨑浩介, 松永剛明, 佐藤宗治, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDを用いて作製したクラスターフリーa-Si:Hの膜質の基板温度依存性, 第72回応用物理学会学術講演会, 2011.09.
1228. 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとグラファイトの相互作用により発生したカーボンナノ粒子の基板バイアス電圧印加による配置制御, 第72回応用物理学会学術講演会, 2011.08.
1229. K. Kamataki , H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasmas, the XXX International Conference on Phenomena in Ionized Gases(ICPIG) 2012 Conference, 2011.08.
1230. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G.u Uchida, K. Koga, M. Shiratani, Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD, The 20th International Symposium on Plasma Chemistry (ISPC20), 2011.07.
1231. K. Hatozaki, K. Nakahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Highly stable schottky cells using cluster-free a-Si:H deposited by multi-hollow discharge plasma CVD method, The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
1232. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, Innovative Si solar cells: new approaches and demonstration of devices (Invited), The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
1233. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of high quality ZnO films via nitrogen-mediated crystallization, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1234. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1235. Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of nanoparticle incorporation on crystalline orientation of microcrystalline silicon films prepared by multi-hollow plasma discharge CVD, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1236. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control towards Ultimate Plasma Nanotechnologies (Keynote), 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1237. G. Uchida, M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films and its Application to Quantum dot Sensitized Solar Cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1238. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of solid-phase crystallization temperature on properties of epitaxial ZnO films, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1239. K. Nishiyama, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Nanoparticle formation due to interactions between H2 plasmas and graphite, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1240. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Surface modification with TiO2 films for Si quantum dot-sensitized solar cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1241. K. Koga, G. Uchida, M. Sato, Y. Wang, K. Nakahara, K. Kamataki, N. Itagaki, M. Shiratani, Effects of surface treatment on performance of Si nano-particle quantum dot solar cells, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1242. T. Matsunaga, Yeon Won Kim, K. Koga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process Window Evaluation of Microcrystalline Silicon Films with and without Incorporating Clusters using Multi-hollow Discharge Plasma CVD, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1243. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface coating, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
1244. 松永剛明, 金淵元, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD による微結晶シリコン製膜プロセスウィンドウのガス圧力依存性, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
1245. 白谷正治, 古閑一憲, 板垣奈穂, 内田儀一郎, 高光安定a-Si太陽電池の開発, 第3回薄膜コンソ技術委員会, 2011.05.
1246. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of highly conducting ZnO:Al films utilizing solid-phase crystallized seed layer, European Materials Research Society 2011 Spring Meeting , 2011.05.
1247. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, and M. Shiratani, High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers, European Materials Research Society 2011 Spring Meeting , 2011.05.
1248. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Transport control of carbon nanoparticles in plasmas by biasing wall potential for plasma nano-factories, European Materials Research Society 2011 Spring Meeting , 2011.05.
1249. 宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 振幅変調パルス放電を用いてトレンチ基板上に堆積させたナノ粒子の付着形状, 第58回応用物理学関係連合講演会, 2011.03.
1250. 佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄, 量子ドット増感太陽電池の電流密度-電圧特性の膜堆積による影響, 第58回応用物理学関係連合講演会, 2011.03.
1251. 金淵元, 松永剛明, 川嶋勇毅, 山下大輔, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, Combinatorial study on deposition profiles of silicon thin films deposited using high gas pressure multi-hollow discharge plasma CVD, 第58回応用物理学関係連合講演会, 2011.03.
1252. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤 宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+B10H14マルチホロー放電プラズマCVDによるp型a-Si:Hの製膜, 第58回応用物理学関係連合講演会, 2011.03.
1253. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電による表面窒化Siナノ粒子含有薄膜のコンビナトリアル成膜, 第58回応用物理学関係連合講演会, 2011.03.
1254. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVD 法を用いたラジカルフラックス評価, 第58回応用物理学関係連合講演会, 2011.03.
1255. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 固相結晶化シード層を用いた酸化亜鉛系透明導電膜の作製, 第58回応用物理学関係連合講演会, 2011.03.
1256. 古閑一憲, 野村卓也, 浦川達也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝, Deposition of carbon films on top surface of fine trenches at 100℃ using a plasma anisotropic CVD method, 第58回応用物理学関係連合講演会, 2011.03.
1257. 内田儀一郎, 宮田大嗣, 鎌滝晋礼, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治, DM-DMOS微粒子プラズマ中におけるAr準安定粒子密度の時間変動, 第58回応用物理学関係連合講演会, 2011.03.
1258. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, 水素プラズマとグラファイトの相互作用により発生したナノダストの基板へのフラックスの基板バイアス電圧依存性, 第58回応用物理学関係連合講演会, 2011.03.
1259. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Combinatorial deposition of surface nitridated Si nano-particle composite films by double multi-hollow discharges, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1260. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films of a low defect density using SiH4+PH3 multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1261. Y. Kim, T. Matsunaga, Y. Kawashima, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of substrate temperature on properties of μc-Si:H films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1262. T. Matsunaga, Y. Kim, Y. Kawashima, K. Koga, D. Yamashita, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process window of microcrystalline silicon films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1263. M. Shiratani, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, Ultra Low Resistive NM Thick AZO Films Deposited by Magnetron Sputtering Using Solid Phase Crystallization, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1264. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Deposition of ?c-Si films using plasma CVD under high gas pressure conditions, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1265. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD for pin solar cell, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1266. Y. Kim, T. Matsunaga, Y. Kawashima, M. Sato, K. Nakahara, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of substrate temperature on microcrystalline silicon thin films deposited using plasma enhanced CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1267. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated silicon nano-particles by double multi-hollow discharge CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1268. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical and electrical properties of nitridated Si nano-particle composite thin films deposited by double multi-hollow discharges CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1269. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Deposition of Si nano-particle quantum dot thin film by multi-hollow discharge and their application to the third generation hotovoltaics, 2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ), 2011.03.
1270. N. Itagaki, K. Kuwahara, and K. Nakahara, Novel fabrication method for transparent conducting oxide films
utilizing solid-phase crystallized seed layers (Invited), International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011), 2011.02.
1271. K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani, Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics (Invited), International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011), 2011.02.
1272. 白谷正治, 宮田大嗣, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHD第一壁へのダストフラックスに対する壁電位の効果 , 核融合科学研究所一般共同研究成果報告会(NIFS), 2011.01.
1273. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Combinatorial study on deposition profiles of silicon thin films deposited using multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1274. H. Miyata, S. Iwashita, K. Nishiyama, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of SiOx-CH3 nano-particles on trench substrates using pulse RF discharges, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1275. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Electrical characteristics of Si nanoparticles/ruthenium dye hybrid sensitized solar cells, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1276. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G.u Uchida, N. Itagaki, K. Koga, M. Shiratani, Flux measurements of carbon dust particles towards biased substrates in H2 helicon discharge plasmas, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1277. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated Si particle composite films by double multi-hollow plasma CVD method, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1278. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Low resistivity AZO films fabricated on solid phase crystallized ZnO buffer layer: solid phase crystallization temperature dependence, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1279. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical bandgap energy of B-doped a-Si:H films depositedby SiH4+B10H14multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1280. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani , Photoluminescence measurement of nitridated Si particles generated by double multi-hollow discharge PECVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1281. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Property evaluation of silicon films deposited using high gas pressure multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1282. K. Koga, T. Matsunaga, Y. Kawashima, Y. Kim, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Radical flux evaluation of high pressure silane plasma CVD using multi-hollow discharges (Invited), The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1283. D. Yamashita, K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Surface reactions of P-doped a-Si:H films deposition using SiH4+PH3, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1284. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate of SiH4+PH3 multi-hollow plasma CVD a-Si:H films, 第20回日本MRS学術シンポジウム, 2010.12.
1285. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and the application to solar cell, 第20回日本MRS学術シンポジウム, 2010.12.
1286. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Investigation of Interaction between of Growth of Nano Particles and Plasma Fluctuations in Plasma CVD, 第20回日本MRS学術シンポジウム, 2010.12.
1287. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Microcrystalline silicon films deposited by using multi-hollow discharge plasma CVD under high pressure depletion conditions, 第20回日本MRS学術シンポジウム, 2010.12.
1288. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 鎌滝晋礼, 近藤道雄, 白谷正治, マルチホロー放電プラズマCVD を用いた第三世代太陽電池用結晶シリコンナノ粒子のサイズ制御, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1289. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+B10H14マルチホロー放電プラズマCVDを用いたBドーピングa-Si:Hの堆積, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1290. 金淵元, 松永剛明, 川嶋勇毅, 中原賢太, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Substrate temperature dependence of microcrystalline silicon deposition by multi-hollow discharge plasma CVD, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1291. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, スパッタリング法を用いた新規固相結晶化法によるエピタキシャル酸化亜鉛薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1292. 佐藤宗治, 山本康介, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電によるN2/SiH4プラズマの独立制御, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1293. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電プラズマを用いた表面窒化Siナノ粒子含有薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1294. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, プラズマCVD中のナノ粒子成長に対するプラズマ揺動の影響, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1295. 松永剛明, 川嶋勇毅, 金淵元, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVDを用いたμc-Si:Hのコンビナトリアル製膜によるSiH3,Hフラックス解析, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1296. 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 振幅変調パルス放電を用いた微細パターン基板へのナノ粒子の堆積, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1297. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 水素プラズマとグラファイトとの相互作用により発生したダストのフラックスの壁電位依存性, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
1298. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, A novel control method of nano-strucutre of oxide films using sputtering deposition (Keynote Speech), The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) , 2010.12.
1299. 古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 微細パターン基板へのSiOx-CH3ナノ粒子堆積, 第27回プラズマ・核融合学会年会, 2010.12.
1300. 古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 芦川直子, 増﨑貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ-カーボン壁相互作用で発生したカーボン微粒子の基板へのフラックス評価, 第27回プラズマ・核融合学会年会, 2010.11.
1301. N. Itagaki, K. Kuwahara, Solid phase crystallization of ZnO films via nitrogen-atom mediation, 2010 MRS Fall Meeting, 2010.11.
1302. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄, シリコンナノ粒子とRu色素を用いた増感太陽電池の電流密度-電圧特性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1303. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電を用いた表面窒化シリコン粒子の生成, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1304. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で堆積したPドープa-Si:H膜の導電率, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1305. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 高ガス圧力条件における微結晶シリコン薄膜の作製, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1306. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素原子を介した酸化亜鉛薄膜の固相結晶化におけるアニール温度依存性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1307. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 微細トレンチ基板へのナノ粒子の堆積, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
1308. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon nanoparticles and the application to solar cell (Invited), The 1st Korean-Japan Symposium on Surface Technology, 2010.11.
1309. N. Itagaki, Novel fabrication method for oxide semiconductors via atomic-additive mediated crystallization (Invited), International technical conference of IEEE Region 10, 2010.11, 高い電気伝導度と可視光透明性を有する酸化亜鉛(ZnO)はタッチパネルや太陽電池等に欠かせない透明導電膜材料として期待されている。しかし、その性能はレアメタル系材料に比べて低く、本格的な実用化には到っていない。本講演では、申請者が提案する新しい手法により、超低抵抗率を有するレアメタル代替透明導電膜材料を実現したことを報告する。本提案の作製技術は、次の2つの特長を有する。
1. 非晶質ZnON(酸窒化亜鉛)膜をアニールし、ZnOを固相結晶化させることで、結晶核密度の制御されたZnO薄膜を作製する。非晶質相からのZnO固相結晶化に成功した例は本研究が世界で初めてである。
2. 1.で作製した固相結晶化上にZnOを形成することにより、結晶成長初期における核発生が抑制されるため、結晶性に優れたZnO膜を形成することが出来る。これにより従来に比べ抵抗率が一桁低いZnO膜の作製に成功した。
 本研究の成果はZnO透明導電膜実用化のブレークスルーにつながるだけでなく、他の酸化物にも応用可能な、新しい手法として発展すると期待される。.
1310. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-Free B-Doped a-Si:H Films Deposited Using SiH4 + B10H14 Multi-Hollow Discharges, International technical conference of IEEE Region 10, 2010.11.
1311. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, W. M. Nakamura, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Deposition profiles of microcrystalline silicon films using multi-hollow discharge plasma CVD, International technical conference of IEEE Region 10, 2010.11.
1312. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasmas, International technical conference of IEEE Region 10, 2010.11.
1313. G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, Dsaisuke Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge, International technical conference of IEEE Region 10, 2010.11.
1314. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control for Plasma Nanotechnologies (Keynote Speech), International technical conference of IEEE Region 10, 2010.11.
1315. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-free p-type a Si:H films deposited using SiH4 + B10H14 multi-hollow discharges, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1316. T. Matsunaga, Y. Kawashima, K. Koga, M. Sato, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of microcrystalline silicon filmas deposited by using multi-hollow discharge plasma CVD, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1317. Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Novel solar cells using Si nanoparticles, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1318. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particle in SiH4/H2 and N2 multi-hollow discharges, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
1319. M. Shiratani, H. Miyata, K. Nishiyama, S. Iwashita, D.Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, In-situ collection of dust particles produced due to interaction between helicon discharge plasmasand graphite on substrates with bias voltage, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
1320. M. Shiratani, K. Koga, G.u Uchida, N. Itagaki, K. Kamataki, Manipulation of Nano-objects Uusing Plasmas for a Plasma Nano-factory (Invited), The 11th Asia Pacific Physics Conference (APPC11), 2010.11, 新しいボトムアッププロセスとしてナノ粒子の操作をプラズマを用いて行う新概念の提案とその実験的検証に関する報告を行った。.
1321. G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
1322. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles, 2010 International Symposium on Dry Process Program (DPS), 2010.11.
1323. K. Koga, H. Miyata, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD Experimental Group, Deposition of Nanoparticles using Substrate Bias Voltage, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
1324. 板垣奈穂, 桑原和成, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, アモルファス相からの固相結晶化による酸化亜鉛薄膜の作製, 第26回九州・山口プラズマ研究会, 2010.11.
1325. 古閑一憲, 北﨑訓, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ-細胞相互作用による細胞活性制御, 第26回九州・山口プラズマ研究会, 2010.11.
1326. 内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 窒化シリコン微粒子の生成と太陽電池への応用, 第26回九州・山口プラズマ研究会, 2010.11.
1327. M. Shiratani, K. Koga, T. Matsunaga, Y. Kawashima, W. M. Nakamura, G. Uchida, N. Itagaki, Combinatorial plasma CVD of Si thin films with a multihollow discharge plasma CVD reactor, AVS 57th International Symposium & Exhibition, 2010.10.
1328. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Carrier generation in Si quantum dots-sensitized solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1329. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of cluster-free B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1330. H. Miyata, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Effects of H2 plasma irradiation to TiO2 on quantum dot/dye-sensitized solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1331. K. Koga, K. Nakahara, T. Matsunaga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, High speed deposition of highly stable a-Si:H films using pure silane multi-hollow discharges, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1332. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, M. Sato, D. Yamashita, G. Uchida, N. Itagaki, M. Shiratani, Optical and electrical properties of microcrystalline silicon thin films deposited by mutli-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1333. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H.Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Production of nitridated silicon particles for quantum dot solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1334. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, ZnO transparent conductive films prepared by solid-phase crystallization from amorphous phase, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1335. K. Koga, G. Uchida, Y. Kawashima, M. Sato, K. Yamamoto, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, M. Shiratani, Si quantum dot-sensitized solar cells using Si nanoparticles produced by multi-hollow discharge, 3rd International Symposium on Innovative Solar Cells, 2010.10.
1336. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of a-ZnON films by Ar/N2 sputtering for solid-phase crystallization of ZnO, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1337. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group, Carbon dust particles generated due to H2 plasma-carbon wall interaction, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1338. T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1339. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1340. G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1341. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+ B10H14マルチホロー放電プラズマCVD法によるBドープa-Si:Hの製膜, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
1342. 山本康介, 川嶋勇毅, 佐藤宗治, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ダブルマルチホロー放電プラズマを用いた表面窒化シリコン粒子の生成, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
1343. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣菜穂, 白谷正治, 高ガス圧力条件における微結晶シリコン薄膜の結晶化率分布, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
1344. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素原子を介した固相結晶化法による酸化亜鉛薄膜の作製, 第71回応用物理学会学術講演会, 2010.09.
1345. 内田儀一郎, 佐藤宗治, 川嶋勇毅, 中原賢太, 山本康介, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ダブルマルチホロー放電によるシリコン粒子の表面窒化, 第71回応用物理学会学術講演会, 2010.09.
1346. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 山下大輔, 松崎秀文, SiH4+ B10H14マルチホロー放電プラズマCVD法を用いたBドープa-Si:Hの製膜, 第71回応用物理学会学術講演会, 2010.09.
1347. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 高ガス圧力微結晶シリコン製膜条件における結晶化率2次元分布, 第71回応用物理学会学術講演会, 2010.09.
1348. 宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, 水素プラズマとグラファイトの相互作用で発生したダストの壁へのフラックスに対する壁電位の影響, 第71回応用物理学会学術講演会, 2010.09.
1349. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマ中のナノ粒子成長に対する電力摂動の効果, 第71回応用物理学会学術講演会, 2010.09.
1350. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 松崎秀文, 内田儀一郎, 近藤道雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子増感太陽電池の光電流の照射光強度依存性, 第71回応用物理学会学術講演会, 2010.09.
1351. 内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, シリコンナノ微粒子の生成と太陽電池への応用, 平成22年度東北大学電気通信研究所共同プロジェクト研究会「微粒子プラズマの応用に関する基礎的研究」, 2010.08.
1352. 板垣奈穂, 公募で勝つには-私の経験, 第37回西日本放電懇談会, 2010.08.
1353. M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Generation of Si particles and their nitridation using double multihollow discharges, The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
1354. K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Comparison between Si thin films with and without incorporating nanoparticles into the film, 10th Asia Pacific Conference on Plasma Science and Technology (APCPST), 2010.07.
1355. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+PH3マルチホロー放電プラズマCVDによるn型a-Si:Hの製膜, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
1356. 佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ナノ粒子含有シリコン薄膜の光学特性, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
1357. 松永剛明, 川嶋勇毅, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いた 微結晶シリコン薄膜の作製と膜質評価, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
1358. N. Itagaki, Combinatorial sputtering of oxynitride semiconductors (Invited), 2010 International Workshop on Plasma Applications, 2010.06.
1359. N. Itagaki, S. Yaginuma, H. Omura, A. Goyal, A. Sato, M. Watanabe, M. Shimada, N. Kaji, K. Takahashi, M. Ofuji, T. Watanabe, H. Shimizu, K. Abe, Y. Tateishi, H. Yabuta, T. Iwasaki, R. Hayashi, T. Aiba, M. Sano and H. Kumomi, Amorphous Oxide Semiconductor Based TFTs: Their Current Situation and Issues (Invited), 第19回日本MRS学術シンポジウム(English Session), 2009.12.
1360. 板垣奈穂, Amita Goyal, 岩崎達哉, 田透, 雲見日出也, In-X-O (X=B,Mg,Al,Si,Ti,Ga,Ge,Mo,Sn) をチャネル層に用いたTFTとその特性の元素X依存性, 第69回応用物理学会学術講演会, 2008.09.
1361. N. Itagaki, T. Iwasaki, T. Den, H. Kumomi, K. Nomura, T. Kamiya, and H. Hosono, Zn-In-O based thin-film transistors: Compositional dependence, European Material Research Society 2007 Spring Meeting, 2007.06.
1362. 板垣奈穂, 岩崎達哉, 田透, 雲見日出也, 野村研二, 神谷利夫, 細野秀雄, In-Ga-Zn-O 系薄膜を用いた電界効果トランジスタとその組成依存性, 第53回応用物理学関係連合講演会, 2006.03.
1363. 板垣奈穂, 新倉ちさと, 松田彰久, 近藤道雄, マルチホロー型カソードを用いた高密度VHFプラズマの生成と診断, 第65回応用物理学会学術講演会, 2004.09.
1364. N. Itagaki, K. Sasaki and Y. Kawai, Electron-Temperature Measurement in SiH4/H2 ECR Plasma Produced by 915MHz Microwaves, 7th Asia Pacific Conf. Plasma Sci. Technol. and 17th Symp. Plasma Sci. Mater., 2004.06.
1365. N. Itagaki, K. Muta, N. Ishii and Y. Kawai, Production of Electron-Temperature-Controllable ECR Plasma for Thin Film Deposition, 50th American Vacuum Soc. Int’l Symp., 2003.11.
1366. N. Itagaki, K. Muta, Y. Kawai, N. Ishii, Relationship between the plasma parameter and the microwave power absorption in ECR plasma, American Phys. Soc. 45st Annual Meet. Division of Plasma Phys., 2003.11.
1367. N. Itagaki, K. Muta, N. Ishii and Y. Kawai, Electron Temperature Control in ECR Plasma by Varying the Resonance Zone Width, Asian European Int’l Conf. Plasma Surface Engineering, 2003.09.
1368. N. Itagaki, H. Muta, N. Ishii and Y. Kawai, Control of the electron temperature by varying the resonance zone width in ECR plasma, 16th Symposium on Plasma Science for Materials, 2003.06.
1369. N. Itagaki, K. Muta, N. Ishii and Y. Kawai, Relationship between the electron temperature and the power absorption profile in ECR plasma, 16th Int’l. Symp. Plasma Chemistry, 2003.06.
1370. 板垣奈穂, 牟田浩司, 河合良信, ECRプラズマにおける共鳴幅変化による電子温度制御, 第 50回応用物理学関係連合講演会, 2003.03.
1371. N. Itagaki, S, Iwata, K. Muta, Y. Kawai, A. Yonesu, S. Kawakami, N. Ishii, Behaviour of N2 Dissociation in ECR Plasma, 4th Cross Straits Symp. Mater.,Energy and Environmental Sci., 2002.11.
1372. 板垣奈穂, 牟田浩司, 河合良信, 915MHz ECRプラズマにおける電子温度のパワー吸収分布依存性, プラズマ・核融合学会第19回年会, 2002.11.
1373. 板垣奈穂, 河合良信, 米須章, 川上聡, 石井信雄, 915MHz ECRプラズマの窒素解離特性, プラズマ科学のフロンティア研究会, 2002.10.
1374. N. Itagaki, S. Iwata, K. Muta, A. Yonesu, S. Kawakami, N. Ishii, Y. Kawai, Behavior of the molecular dissociation in 915 MHz ECR nitrogen plasma, 16th European Conf. Atomic & Molecular Phys. Ionized Gases & 5th Int’l Conf. Reactive Plasmas, 2002.07.
1375. N. Itagaki, S. Iwata, K. Muta, A. Yonesu, S. Kawakami, N. Ishii, Y. Kawai, Electron-temperature dependence of nitrogen dissociation in 915 MHz ECR plasma, 6th Asian-European International Conference on Plasma Surface Engineering, 2002.07.
1376. 板垣奈穂、岩田真治、牟田浩二、米須明、川上聡、石井信雄、河合良信, 915 MHz ECRプラズマにおける窒素解離特性の電子温度依存性, 第49回応用物理学関係連合講演会, 2002.03.
1377. 板垣奈穂, 川上聡, 石井信雄, 河合良信, 915MHz ECRプラズマにおける電子温度制御, プラズマ・核融合学会 第18回年会, 2001.11.
1378. N. Itagaki, Y. Kawai, S. Kawakami, N. Ishii, Electron-temperature control in 915 MHz electron cyclotron resonance plasma, 48th American Vacuum Soc. Int’l Symp., 2001.10.
1379. N. Itagaki, S. Kawakami, N. Ishii, Y. Kawai, Production of low electron temperature ECR plasma for plasma application, Int’l Conf. Phenomena in Ionized Gases, 2001.07.
1380. N. Itagaki, S. Kawakami, N. Ishii, Y. Kawai, Production of low-electron temperature ECR plasma with large area using 915 MHz microwave, 6th Int’l Symp. Sputtering and Plasma Processes, 2001.06.
1381. N. Itagaki, Y. Ueda, N. Ishii and Y. Kawai, Effect of Magnetic-Mirror Confinement on Electron Temperature Control in ECR Plasma, 11th Int. Toki Conf. on Plasma Phys.and Control.Nucl. Fusion, 2000.12.
1382. 板垣奈穂, 上田洋子, 石井信雄, 河合良信, ECRプラズマの低電子温度化に対する窒素ガス添加効果, 平成12年度応用物理学会九州支部講演会, 2000.12.
1383. N. Itagaki, Y.Ueda and Y. Kawai, Production of Low Electron Temperature ECR Plasma for Plasma Processing, 5th Asia-Pacific Conference on Plasma Science & Technology and 13th Symposium on Plasma Science for Materials, 2000.09.
1384. N. Itagaki, Y. Ueda, N. Ishii and Y. Kawai, Production of low electron temperature ECR plasma for thin film deposition, Int’l Conf. Plasma Surface Engineering, 2000.09.
1385. 板垣奈穂, 上田洋子, 河合良信, 石井信雄, 低電子温度ECRプラズマ生成への試み, 第47回応用物理学関係連合講演会, 2000.03.
1386. N. Itagaki, A. Fukuda, Y. Ueda, N.Ishii and Y. Kawai, Control of the Electron Temperature in an ECR Plasma for Thin Film Deposition, American Phys. Soc. 41st Annual Meet. Division of Plasma Phys., 1999.11.
1387. N. Itagaki, A. Fukuda, T. Yoshizawa, M. Shindo, Y. Ueda and Y. Kawai, Plasma parameter measurements and deposition of a-Si:H thin films in pulsed ECR plasma, Asian European Int’l Conf. Plasma Surface Engineering, 1999.09.
1388. N. Itagaki, T. Yoshizawa, Y. Ueda, Y. Kawai, Investigation of ECR plasma uniformity from the point of view of production & confinement, 12th Symposium on Plasma Science for Materials, 1999.06.

九大関連コンテンツ

pure2017年10月2日から、「九州大学研究者情報」を補完するデータベースとして、Elsevier社の「Pure」による研究業績の公開を開始しました。