九州大学 研究者情報
発表一覧
鎌滝 晋礼(かまたき くにひろ) データ更新日:2023.11.27

准教授 /  システム情報科学研究院 情報エレクトロニクス部門


学会発表等
1. Kunihiro Kamataki, F. L. Charawambwa, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Prediction of Plasma CVD Process Data of a-Si:H Films via Machine Learning, ISPC25(25th International Symposium on Plasma Chemistry), 2023.05.
2. 鎌滝 晋礼, F. L. Chawarambwa, 板垣 奈穂, 古閑 一憲, 白谷 正治, プラズマプロセスにおける機械学習の活用~ITO薄膜のスパッタ製膜プロセスを例に~, 令和4年度 LHD におけるプラズマ・壁相作用に関する研究会  および 静岡大学「放射科学が切り拓くグリーン・エネルギー超領域科学研究」研究会 , 2023.03.
3. 鎌滝 晋礼, 佐藤 斗真,富田 健太郎,Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(3), 第70回応用物理学会春季学術講演会, 2023.03.
4. 田中真里、鎌滝晋礼, 自然災害被災地訪問による認識の変容に関する研究 東日本大震災の体験を通じて, 日本発達心理学会第34回大会, 2023.03.
5. Kunihiro Kamataki, Yuta Mido, Iori Nagao, Daisuke Yamashita, Takamasa Okumura, Naoto Yamashita, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning, AFM2023(7th International Conference on Advances in Functional Materials), 2023.01.
6. Kunihiro Kamataki, Toma Sato, Kentaro Tomita, Pan Yimin, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Electric field measurements in Ar plasma using a fine charged particle trapped with laser tweezers, 23rd Workshop on Fine Particle Plasmas , 2022.12.
7. Kunihiro Kamataki, Toma Sato, Kentaro Tomita, Pan Yimin, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Measurement of the charge on single fine particles in plasma, The 32nd Annual Meeting of MRS-J, 2022.12.
8. Kunihiro Kamataki, Toma Sato, Kentaro Tomita, Pan Yimin, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Electric fields measurement using an optical trapped charged particle in Ar plasma(invited)
, The 32nd Annual Meeting of MRS-J , 2022.12.
9. Kunihiro Kamataki, Akihiro Yamamoto, Iori Nagao, Yuma Yamamoto, Daisuke Yamashita, Naho Itagaki, Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, プラズマCVDにおける成膜とナノ粒子成長 , 第38回 九州・山口プラズマ研究会, 2022.11.
10. Kunihiro Kamataki, Akihiro Yamamoto, Iori Nagao, Yuma Yamamoto, Daisuke Yamashita, Naho Itagaki, Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, Control of Growth of Nano-particles and Properties of SiO2 Films with Amplitude Modulated Discharge in TEOS-PECVD(Plenary), AAPPS-DPP2022 (6th Asia-Pacific Conference on Plasma Physics), 2022.10.
11. Kunihiro Kamataki, Toma Sato, Kentaro Tomita, Pan Yimin, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(invited), GEC2022/ICRP-11(The 75th Annual Gaseous Electronics Conference/the 11th International Conference on Reactive Plasmas), 2022.10.
12. 鎌滝 晋礼, 佐藤 斗真,富田 健太郎,Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(2), 第83回応用物理学会秋季学術講演会, 2022.09.
13. Kunihiro Kamataki, Akihiro Yamamoto, Iori Nagao, Yuma Yamamoto, Daisuke Yamashita, Naho Itagaki, Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, Impact of amplitude modulated discharge on suppression of nanoparticles growth in TEOS/O2/Ar capacitively coupled plasma, The 22nd International Vacuum Congress (IVC-22), 2022.09.
14. Kunihiro Kamataki, Akihiro Yamamoto, Iori Nagao, Yuma Yamamoto, Daisuke Yamashita, Naho Itagaki, Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, Effects of amplitude modulated rf discharge on properties of SiO2 films deposited by TEOS/O2/Ar plasma-enhanced chemical vapor deposition, 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX), 2022.09.
15. Kunihiro Kamataki, Sakyo Okunaga, Toma Sato, Kentaro Tomita, Pan Yimin, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Development of measurement of two-dimensional distribution of strength of electrical field with high spatial resolution using optical trapped particle in plasma, 2022 MRS (Material Research society) Spring Meeting, 2022.05.
16. 鎌滝 晋礼, 奥永 冴京,佐藤 斗真,富田 健太郎,Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(1)
, 第69回応用物理学会春季学術講演会, 2022.03.
17. 鎌滝 晋礼, 奥永 冴京,佐藤 斗真,富田 健太郎,Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, 光捕捉微粒子による超高感度電場計測-電場強度と電場揺動計測-, 令和3年度東北大学電気通信研究所共同プロジェクト研究会, 2022.02.
18. Kunihiro. Kamataki, Kohei Abe, Akihiro Yamamoto, Iori Nagao, Michihiro Otaka, Daisuke Yamashita, Naho Itagaki, Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, Investigation of relationship between growth of nanoparticle and plasma generation due to amplitude modulated discharge voltage in TEOS plasma, SPP-39/SPSM34(39th Symposium on Plasma Processing/34th Symposium on Plasma Science for Materials), 2022.01.
19. Kunihiro Kamataki, Sakyo Okunaga, Toma Sato, Kentaro Tomita, Pan Yimin, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Investigation of 2D electric field measurements in Ar plasmas using a fine particle trapped with laser tweezers, MRM2021(Material Research Meeting), 2021.12.
20. Kunihiro Kamataki, Kohei Abe, Akihiro Yamamoto, Iori Nagao, Michihiro Otaka, Daisuke Yamashita, Naho Itagaki, Kazunori Koga, Masaharu Shiratani , Investigation of growth suppression mechanism of nanoparticles through amplitude modulation discharge method in TEOS plasma
, The 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12), 2021.12.
21. Kunihiro KAMATAKI, Sakyo OKUNAGA, Toma SATO, Kentaro TOMITA, Pan YIMIN, Daisuke YAMASHITA, Takamasa OKUMURA, Naho ITAGAKI, Kazunori KOGA, Masaharu SHIRATANI , Study on measurement of strength and fluctuation of electrical field using optical trapped particle in Ar plasma (invited), AAPPS-DPP2021(5th Asia-Pacific Conference on Plasma Physics), 2021.09.
22. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, S. Tahara, Y. Mizokami, Y. Miyata, K. Tabuchi, T. Tanikuni, S. Hiyama, K. Nagahata , Better step coverage of TEOS-PECVD SiO2 films realized by amplitude modulation of RF discharge voltage, DPS 2021 (42nd International Symposium on Dry Process), 2021.11.
23. Kunhiro KAMATAKI, Chen Feiyu, Tao Yang, Sakyo Okunaga, Daisuke Yamashita, Takamasa Okumura, Naho Itagaki, Kazunori Koga, Masaharu Shiratani , Predictive analytics in plasma process using machine learning tools and techniques (invited), 1st Workshop on Artificial Intelligence in Plasma Science - Satellite Workshop of EU-Japan Joint Symposium on Plasma Processing, 2021.09.
24. 鎌滝 晋礼, 奥永 冴京,佐藤 斗真,富田 健太郎,Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, 振幅変調放電プラズマ中の光捕捉微粒子の挙動に関する研究, 第82回応用物理学会秋季学術講演会, 2021.09.
25. 鎌滝晋礼 , 佐々木勇輔 , 奥村賢直 ,板垣奈穂 ,古閑一憲 ,白谷正治, SiH4+N2 プラズマによる SiNx の低温高品質成膜, 応用物理学会 シリコンテクノロジー分科会 第227回 研究集会, 2021.03, 半導体デバイス高性能化に伴い,例えば、半導体の素子が高層化すればするほど、またフレキシブル基板への成膜などの場合、下地への熱ダメージを低減する必要があり、膜質を担保したままの低温成膜技術が求められている。SiNやSiO2は半導体の保護膜としてよく使われている。従来、SiN膜は、プラズマCVDにおいて300-400 ℃の高い基板温度で製膜されてきた。これは、低基板温度では、表面反応が遅く、低窒化度、高水素量の膜となり, 高品質膜を作製することが困難であるためである。本研究は、SiH4+N2プラズマを用いて気相中のナノ粒子の成長を制御することで、低基板温度(100 ℃)でも高窒化及び膜中水素量の低い高品質なSiN膜を作製することに成功した。.
26. Kunihiro Kamataki, Tomoaki Yoshida, Yusuke Sasaki, Kohei Abe, Daisuke Yamashita, Naho Itagaki, Kazunori Koga, and Masaharu Shiratani, Relations among spatial profiles of plasma parameters, growth of nanoparticles in reactive plasma and properties of fabricated thin films(invited), AAPPS-DPP2020 e-conference, 2020.10.
27. K.Koga‚ S. H. Hwang‚ K. Kamataki‚ N. Itagaki‚ M. Shiratani, High Throughput Deposition of Hydrogenated Amorphous Carbon Films using High-Pressure Ar+CH4 Plasmas, ICMCTF2021, 2021.04.
28. 鎌滝晋礼,奥永冴京, 岩本 亮介, 富田 健太郎, P.Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷 正治, Arプラズマ中の光捕捉微粒子への作用力の研究, 第68回応用物理学会春季学術講演会, 2021.03.
29. 古閑一憲, 黄成和, Y.Hao, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治, プラズマを用いてカーボンナノ粒子層を含むミルフィーユ型 a-C:H 膜の機械的特性, 第68回応用物理学会春季学術講演会, 2021.03.
30. 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫, 任意波形励起プラズマ CVD 法による a-C:H 膜質の制御, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
31. 佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子取り込みによる SiNx の低温プラズマ CVD 製膜, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
32. 成重椋太, 金島健太郎, 浦川 聖一, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂, マグネトロンスパッタリング法による(ZnO)x(InN)1-x膜のエピタキシャル成長:基板の面極性の影響, 応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム, 2021.03.
33. 古閑一憲, S. H. Hwang, Y. Hao, P. Attir, 奥村賢直,鎌滝晋礼,板垣奈穂, 白谷正治, プラズマCVD中飛行時間によるカーボンナノ粒子サイズ制御, 日本物理学会第76回年次大会, 2021.03.
34. S. Okunaga, K. Kamataki, K. Tomita, P. Yimin, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Electric Field Measurements in Ar plasmas using a Fine Particle Trapped with Optical Tweezers, ISPlasma2021/IC-PLANTS2021, 2021.03.
35. 奥村 賢直, 阿南 輝樹, 田中 颯, 有田 涼, 山下 大輔, アトリ パンカジ, 鎌滝 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 藤本 祉史, 熊内 雅人, 松井 英享, 石橋 勇志, 調湿レタス種子の発芽に及ぼす大気圧プラズマ照射の影響, SPP-38/SPSM33, 2021.01.
36. S. Urakawa, K. Kaneshima, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. shiratani, N. Itagaki, Sputter deposition of ZnAlO films with tunable bandgaps from 3.4 to 6.1 eV, ICMAP 2020 & ISFM 2020, 2021.01.
37. K. Kaneshima, S. Urakawa, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Growth of high-quality (ZnO)x(InN)1-x films by RF magnetron sputtering using a two-step growth process, ICMAP 2020 & ISFM 2020, 2021.01.
38. Y. Nakamura, M. Kikuchi, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagak, Impact of surface morphologies of 3D island layers on the single crystal growth of magnetron sputtered ZnO films, ICMAP 2020 & ISFM 2020, 2021.01.
39. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Structural and Optical Properties of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, ICMAP 2020 & ISFM 2020, 2021.01.
40. Y. Mido, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen mediate amorphization method: Effects of nitrogen flow rate, ICMAP 2020 & ISFM 2020, 2021.01.
41. R. Narishige, K. Kaneshima, S. Urakawa, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki , Effects of surface polarity of ZnO substrates on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films, ICMAP 2020 & ISFM 2020, 2021.01.
42. Y. Sasaki, T. Yoshida, K. Abe, K. Yamamoto, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of SiO2 films using capacitively coupled TEOS plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
43. K. Kamataki, T. Yoshida, Y. Sasaki, K. Abe, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Film Deposition Process using Spatial Profiles of Plasma Parameters and Nanoparticles in Reactive Plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
44. 陳飛宇, 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ITO薄膜のスパッタ製膜プロセスへの機械学習の適用 , 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
45. 長谷川大樹, 出口雅志, 都甲将, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合プラズマ触媒法によるサバティエ反応:圧力依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
46. 佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 容量結合プラズマCVD法によるSiO2膜の低温製膜, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
47. 山本晃大, 吉田智晃, 阿部滉平, 佐々木勇輔, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, TEOSプラズマCVDを用いたSiO2膜の形成:基板バイアス電圧依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
48. 吉田知晃, 阿部滉平, 佐々木勇輔, 山本晃大, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD におけるナノ粒子成長とプラズマ生成の関係, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
49. 郝源, 𠮷川大智, 黄成和, 古閑一憲, 白谷正治, 鎌滝晋礼, 板垣奈穂, 奥村賢直, Ar+CH4 マルチホロー放電プラズマCVDで作製したカーボンナノ粒子のフラックスに対する熱泳動力の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
50. 松本翔剛, 髙橋大智, 中村優太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, Ar/N2スパッタリングによるサファイア基板上へのZnO単結晶成長:O-poorバッファー層の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
51. 寺澤寛, 金島健太郎, 成重椋太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシー法による(ZnO)X(InN)1-X膜の作製: 高温バッファー層の効果, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
52. 陶陽, 岩本亮介, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, CCPにおける径方向電場Eyの計測の試み, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
53. 永松大樹, 岩本亮介, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫, PECVD における任意電圧波形を用いた a-C:H 成膜, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
54. 奥永 冴京, 鎌滝 晋礼, 富田 健太郎, Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治,, アルゴンプラズマ中光捕捉微粒子への作用力の校正, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
55. 阿南輝樹, 田中颯, 有田涼, 山下大輔, 奥村賢直, アトリ パンカジ, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享, レタス種子の発芽特性に及ぼす調湿・プラズマ照射時間依存性, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
56. 阿部滉平, 陶陽, 岩本亮介, 佐々木勇輔, 吉田知晃, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, PIC-MCCMを用いたCCPにおけるIEDに関する研究, 令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会, 2020.12.
57. P. Attri, K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, Impact of Plasma Treatment on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2), 第30回日本MRS年次大会, 2020.12.
58. T. Okumura, H. Tanaka, R. Arita, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth of Rice Cultivated in Field from Plasma-irradiated Seeds and Its Health Assessment for rats, 第30回日本MRS年次大会, 2020.12.
59. K. Koga, P. Attri, T. Anan, R. Arita, H. Tanaka, T. Okumura, D. Yamashita, K. Matsuo, K. Kanataki, N. Itagaki, M. Shiratani, Y. Ishibashi, Impact of Plasma Treatment Time on the Barley Seeds using Electron Paramagnetic Resonance, 第30回日本MRS年次大会, 2020.12.
60. H. Tanaka, R. Kogawa, Y. Oba, M. Fujita, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kamataki, N. Itagaki, Nitric Acid Generation by Pulsed Needle-water Discharge Plasma, 第30回日本MRS年次大会, 2020.12.
61. 出口雅志, 山下大輔, 都甲将, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ触媒法を用いたCO2のCH4化反応におけるプラズマ発光強度の空間分布, 第37回 プラズマ・核融合学会 年会, 2020.12.
62. 白谷正治, 古閑一憲, 鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平, プラズマCVDにおけるナノ粒子成長とプラズマ生成の関係, 第37回 プラズマ・核融合学会 年会, 2020.12.
63. Y. Midou, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
64. F. L. Chawarambwa, K. Kamataki , K. Koga , M. Shiratani , Development of Highly Efficient and Stable Quasi-Solid Electrolytes for Dye-Sensitized solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
65. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita,T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Bandgap Tuning of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
66. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki,T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of substrate surface polarity on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-xfilms, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
67. T. E. Putri, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Concave Concentrator and Plane Reflector Mirror to Increase Efficiency of Bifacial Dye-Sensitized Solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
68. 出口雅志,長谷川 大樹,山下 大輔,鎌滝 晋礼,板垣 奈穂,古閑 一憲,白谷 正治,都甲 将,寒川 誠二, プラズマ触媒メタン化におけるメタン収率とプラズマ発光強度空間分布の関係, 2020年(令和2年度 )応用物理学会九州支部学術講演会, 2020.11.
69. 鎌滝晋礼, プラズマCVDによるアモルファスシリコン成膜におけるプラズマプロセスへの機械学習の適用, 第36回九州・山口プラズマ研究会, 2020.11.
70. K. Kamataki, Relations among spatial profiles of plasma parameters, growth of nanoparticles in reactive plasma and properties of fabricated thin films, 4th Asia Pacific Conference on Plasma Physics, 2020.10.
71. K. Kamataki, S. Okunaga, K. Tomita, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Information on electric field deduced using a fine particle trapped with laser tweezers in Ar plasma, The 73rd Annual Gaseous Electronics Conference, 2020.10.
72. K. Koga, P. Attri, K. Ishikawa, T. Okumura, K. Matsuo, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Role of seed coat color and harvest year on growth enhancement by plasma irradiation to seeds, The 73rd Annual Gaseous Electronics Conference, 2020.10.
73. 古閑一憲, S. H. Hwang, 奥村賢直, Y. Hao, 山下大輔, 松尾かよ, 板垣奈穂, 鎌滝晋礼, 白谷正治, マルチホロー放電プラズマ CVDを用いて作製したカーボンナノ粒子輸送量に対する電極基板間距離の効果, 2020年度(第73回)電気・情報関係学会九州支部連合大会, 2020.09.
74. 都甲将, 寒川誠二, 出口雅志, 鎌滝晋礼, 古閑一憲, 白谷正治, プラズマ触媒反応系における触媒のCO2生成反応への影響, 第81回応用物理学会秋季学術講演会, 2020.09.
75. 古閑一憲, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 白谷正治, 谷口雄太, 池田大輔, プラズマCVD技術文献のテキストマイニングを用いた単語のインパクトの解析, 第81回応用物理学会秋季学術講演会, 2020.09.
76. 鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平, 岩本亮介, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 低温環境下におけるプラズマCVDによる高品質保護膜の作製, 日本物理学会 2020年秋季大会, 2020.09.
77. 古閑一憲, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治, プラズマCVDを用いたa-Si:H堆積薄膜中のSi-H/Si-H2結合形成の活性化エネルギー, 日本物理学会 2020年秋季大会, 2020.09.
78. Y. Hao, S. H. Hwang, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 中谷達行, 白谷正治, メタンプラズマCVDを用いたホローカーボンナノ粒子のワンステップ作製, 第81回応用物理学会秋季学術講演会, 2020.09.
79. 奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, PanYiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる捕捉微粒子を用いたアルゴンプラズマの等電場面計測, 第81回応用物理学会秋季学術講演会, 2020.09.
80. 奥村 賢直, 石橋 勇志, C. Suriyasak, 田中 颯, 佐藤 僚哉, 有田 涼, 廣松 真弥, 古閑 一憲, P. Attri, 松尾 かよ, 山下 大輔, 板垣 奈穂, 鎌滝 晋礼,白谷 正治, 高温障害を持つイネ種子の発芽特性へ及ぼす誘電体バリア放電プラズマ照射の影響, 第81回応用物理学会秋季学術講演会, 2020.09.
81. K. Kamataki, Future prospects of Plasma-nano interface (Invited), Satellite meeting of AAPPS-DPP2020:Workshop on cutting-edge of plasma applications, 2020.08.
82. 古閑一憲, 原尚志, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマと薄膜のナノ界面相互作用による結合形成の活性化エネルギー評価, 日本物理学会第75回年次大会(2020年), 2020.03.
83. 鎌滝晋礼, 吉田知晃, 阿部滉平, 佐々木勇輔, 永石翔大, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 低温成膜における反応性プラズマ中のナノ粒子制御, 日本物理学会第75回年次大会(2020年), 2020.03.
84. 鎌滝晋礼, 奥永冴京, 岩本亮介, 富田健太郎, 山下⼤輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによるプラズマ中捕捉微粒⼦の揺動スペクトル解析, 第67回応用物理学会春季学術講演会, 2020.03.
85. 古閑⼀憲, 石橋勇志, S. Chetphilin, 田中颯, 佐藤僚哉, 有田涼, 廣松真弥, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治, ⾼温障害を持つイネ種⼦の発芽特性に対するプラズマ照射の効果, 第67回応用物理学会春季学術講演会, 2020.03.
86. 石川健治, P. Attri, 奥村賢直, 古閑⼀憲, 有田涼, 佐藤僚哉, 田中颯, 廣松真弥, 松尾かよ, 山下大輔, 鎌滝晋礼, 板垣奈穂, 堀勝, 白谷正治, プラズマ照射したカイワレ種⼦の吸⽔の時間推移評価, 第67回応用物理学会春季学術講演会, 2020.03.
87. 古閑⼀憲, 黄成和, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治, ⾮平衡プラズマを⽤いたサイズ制御したカーボンナノ粒⼦の連続作 製と堆積, 第67回応用物理学会春季学術講演会, 2020.03.
88. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of substrates on the epitaxial growth of (ZnO)x(InN)1-x films , ISPlasma2020/IC-PLANTS2020, 2020.03.
89. K. Kamataki, Y. Sasaki, S. Nagaishi, T. Yoshida, K. Abe, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of passivation films by plasma enhanced CVD, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
90. M. Shiratani, M. Ideguchi, A. Yamamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Methane production for energy storage using low temperature plasma (Invited), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
91. F. L. Chawarambwa, T. E. Putri, Y. Hao, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si3N4/Carbon based PEDOT:PSS Counter Electrode for low-cost Dye-Sensitized Solar Cells, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
92. T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
93. 有田涼, 田中颯, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治, プラズマ照射したカイワレダイコン種子の発芽促進機構-吸水時の種子内ラジカル動態-, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
94. 吉田知晃, 阿部滉平, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, TEOS プラズマ CVD による SiO2製膜と膜質の温度勾配依存性, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
95. 阿部滉平, 吉田知晃, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 反応性プラズマのAM変調における発光強度分布, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
96. 奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 光ピンセットによる微粒子トラップを用いたアルゴンプラズマ電場の精密測定, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
97. 出口雅志, 山本瑛久, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, パルス変調プラズマ触媒法による二酸化炭素のメタン化, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
98. 廣松真弥, 有田涼, 田中颯, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治, レタス幼苗重量分布に対する種子エイジングとプラズマ照射の効果, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
99. 田中颯, 有田涼, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治 , イネ種子に対するプラズマ照射効果のフィールドテスト, プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会, 2019.12.
100. M. Shiratani, R. Iwamoto, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, Measurements of Electrostatic Potential in RF Ar Plasma Using an Optically Trapped Fine Particle, 20th Workshop on Fine Particle Plasmas, 2019.12.
101. R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Tuning Parameters of Indium Tin Oxide Sputter Processing via Bayesian Optimization, 20th Workshop on Fine Particle Plasmas, 2019.12.
102. S. Nagaishi, Y. Sasaki, K. Kamataki, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of high nitriding and low hydrogen content SiN films at 100°C by controlling cluster formation in plasma, 20th Workshop on Fine Particle Plasmas, 2019.12.
103. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
104. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, ZnO Based Semiconductors for Excitonic Devices (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
105. K. Kamataki, R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Amorphization Condition Models from Sputter Deposition Experimental Results by Machine Learning Method, Materials Research Meeting 2019 (MRM2019), 2019.12.
106. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pulse Bias Voltage on Characteristics of a-C:H Film Deposited by High Pressure Ar+CH4 Plasma CVD Process, Materials Research Meeting 2019 (MRM2019), 2019.12.
107. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of higher-order silane molecules on Si-H2 bond and Si-H bond densities in a-Si:H films, Materials Research Meeting 2019 (MRM2019), 2019.12.
108. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Impact of Plasma Fluctuation Driven by Amplitude Modulated VHF Discharge on Growth of Nanoparticles in Reactive Plasma (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
109. 古閑一憲, 佐藤僚哉, 吉田知晃, 有田涼, 田中颯, 廣松真弥, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ照射した種籾の圃場栽培試験, 第36回プラズマ・核融合学会年会, 2019.12.
110. 山本瑛久, 出口雅史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 低温低圧プラズマと触媒を用いた二酸化炭素のメタン化率とCO発光強度の相関, 第36回プラズマ・核融合学会年会, 2019.12.
111. 岩本亮介, 鎌滝晋礼, 村岡宗一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, ベイズ的最適化による高移動度アモルファスITO/In2O3成膜条件の探索, 第36回プラズマ・核融合学会年会, 2019.12.
112. 原尚志, HAO Yuan, 阿部滉平, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いて高ガス流速で製膜することによるa-Si:H膜の面内に局所的に存在する高密度Si-H2結合の抑制, 第36回プラズマ・核融合学会年会, 2019.11.
113. 金島健太郎, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, (ZnO)x(InN)1-x膜のスパッタエピタキシーにおける基板温度の影響, 第36回プラズマ・核融合学会年会, 2019.11.
114. 中村優太, 村岡宗一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板上への単結晶ZnO膜の成長, 第36回プラズマ・核融合学会年会, 2019.11.
115. 永石翔大, 佐々木勇輔, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 気相中のクラスター生成制御による低温低水素SiN膜の作製, 第36回プラズマ・核融合学会年会, 2019.11.
116. S. Urakawa, K. Kaneshima, D. Yamashita, K. Kamataki, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide-gap amorphous ZnAlON
films with tunable bandgaps from 3.4 to 4.2 eV, 第36回プラズマ・核融合学会年会, 2019.11.
117. 村岡宗一郎, 山下大輔, 鎌滝普礼, 古閑一憲, 白谷正治, 板垣奈穂, 不純物添加アモルファス化法による低抵抗アモルファスITO膜の作製: 基板温度の影響, 第36回プラズマ・核融合学会年会, 2019.11.
118. 鎌滝晋礼, 永石翔大, 佐々木勇輔, 原尚志, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子生成制御(招待講演), 第36回プラズマ・核融合学会年会, 2019.11.
119. F. L. Chawarambwa, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fabrication of dye sensitized solar cells with up and down conversion nano-particles, 29th Annual Meeting of MRS-J, 2019.11.
120. R. Sato, M. Hiromatsu, K. Matsuo, T. Yoshida, R. Arita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Irradiation to Seeds of Lactuca Sativa on Histogram of Fresh Weight of Their Seedling, 29th Annual Meeting of MRS-J, 2019.11.
121. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate position dependence of characteristics of a-C:H films fabricated by Ar+CH4 plasma, The 4th Asian Applied Physics Conference, 2019.11.
122. R. Narishige, N. Miyahara, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x films for Excitonic Transistors, The 4th Asian Applied Physics Conference, 2019.11.
123. K. Kaneshima, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate temperature on Crystal Quality of (ZnO)x(InN)1-x Films Fabricated by Sputter Epitaxy, The 4th Asian Applied Physics Conference, 2019.11.
124. Y. Nakamura, S. Muraoka, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Surface morphology of single-crystal ZnO films on sapphire substrates fabricated by sputter epitaxy *Yuta Nakamura, Soichiro Muraoka, Kunihiro K, The 4th Asian Applied Physics Conference, 2019.11.
125. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of amorphous ZnAlON films with tunable bandgap, The 4th Asian Applied Physics Conference, 2019.11.
126. R. Iwamoto, S. Okunaga, K. Kamataki, H. Hara, K. Koga, M. Shiratani, Machine Learning Analysis for Prediction of Key Plasma Process Parameters, The 4th Asian Applied Physics Conference, 2019.11.
127. F. L. Chawarambwa, K. Kamataki, K. Koga, M. Shiratani, Development of Carbon Based Counter Electrodes for Dye Sensitized Solar Cells, The 4th Asian Applied Physics Conference, 2019.11.
128. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of High Nitriding Degree of SiN films by Multi-Hollow Discharge SiH4+N2 Plasma CVD, 41st International Symposium on Dry Process (DPS2019), 2019.11.
129. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD , 41st International Symposium on Dry Process (DPS2019), 2019.11.
130. S. Muraoka, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, 41st International Symposium on Dry Process (DPS2019), 2019.11.
131. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Precision control of nanoparticle property in reactive plasma ~ Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD ~, 第35回九州・山口プラズマ研究会, 2019.11.
132. Y. Hao, H. Hara, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pressure on Characteristics of a-Si:H Films Desosited using Multi-Hollow Discharge Plasma CVD, 3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019), 2019.11.
133. K. Tomita, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Development of a Sensitive Electric Field Probe in Ar plasmas using Optically Trapped Fine Particles, 72nd Gaseous Electronics Conference, 2019.10.
134. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Discharge Power on Deposition Cutoff of Carbon Nanoparticles Synthesized by High Pressure Ar+CH4 Multi-hollow Discharge Plasma CVD, 72nd Gaseous Electronics Conference, 2019.10.
135. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Spatial inhomogeneous distribution of high Si-H2 bond density in a-Si:H films deposited by MHPCVD, 72nd Gaseous Electronics Conference, 2019.10.
136. K. Koga, A. Yamamoto, K. Kamataki, N. Itagaki, M. Shiratani, Rate Limiting Factors of Low Pressure Plasma-catalytic CO2 Methanation Process, AVS 66th International Symposium & Exhibition, 2019.10.
137. K. Kamataki, F. L. Chawarambwa, K. Koga, M. Shiratani, Challenges and Opportunities in Dye Sensitized Solar Cells Using DBD Plasma Treated Upconversion Nanoparticles (Invited), 236th ECS Meeting, 2019.10.
138. 古閑一憲, Sung Hwa Hwang, 鎌滝晋礼, 板垣奈穂, 白谷正治, Ar+CH4プラズマCVDを用いて堆積した水素化アモルファスカーボン薄膜の堆積特性に対する電極基板間距離依存性, 2019年度(第72回)電気・情報関係学会九州支部連合大会, 2019.09.
139. 吉田 知晃, 佐藤 僚哉, 山下 大輔, 鎌瀧 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 吸水したカイワレダイコン種子内ラジカル計測, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
140. 金島 健太郎, 宮原 奈乃華, 浦川 聖一, 山下 大輔, 鎌滝 晋礼, 古閑 一憲, 白谷 正治, (ZnO)x(InN)1-x膜スパッタエピタキシー:表面モルフォロジーの時間発展の観察, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
141. 古閑 一憲, 鎌滝 晋礼, 白谷 正治, プラズマ生成前駆体制御による単分散ナノ粒子合成, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
142. 鎌滝 晋礼, 田中 颯, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治, AM変調反応性プラズマにおけるナノ粒子成長揺動に関する時空間情報解析, 2019年第80回応用物理学会秋季学術講演会, 2019.09.
143. K. Kamataki, R. Iwamoto, S. Okunaga, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Developing Prediction of Key Plasma Processing Parameter from Small Data of Experimental Results by Machine Learning Method, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
144. F. L. Chawarambwa, M. Shiratani, K. Koga, K. Kamataki, H. Seo, Synthesis of Si-nanoparticles using low temperature plasmas and its application to DSSCs , The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
145. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Spatiotemporal Structure of Fluctuation Related with Nanoparticle Growth in Amplitude-Modulated VHF Discharge Reactive Plasma, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
146. M. Shiratani, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, Ultra-sensitive Plasma Potential Measurements by using an Optically Trapped Fine Particle, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
147. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, High Rate Deposition of Carbon Films Using High Pressure CH4 Plasma CVD, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
148. S. Nagaishi, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster incorporation in SiN films, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
149. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, M. Shiratani, Synthesis and deposition of a-C:H nanoparticles using reactive plasmas with a fast gas flow, The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
150. Y. Sasaki, S. Nagaishi, H. Hara, S. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of Low Hydrogen Content SiN films by Multi Hollow Discharge SiH4+N2 Plasma CVD , The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
151. M. Shiratani, K. Kamataki, K. Koga, N. Itagaki , Advanced Methods of Thin Film Fabrication using Plasmas (Invited), 28th International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS28), 2019.08.
152. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide bandgap (ZnO)x(AlN)1-x alloys: a new material system with tunable bandgap, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
153. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Influence of Seed Coat Color on Reactive Species in Plasma Irradiated Seeds of Radish Sprouts, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
154. R. Iwamoto, K. Kamataki, S. Muraoka, N. Miyahara, D. Yamashita, D. Ikeda, K. Koga, N. Itagaki, M. Shiratani, Prediction of Sputtering Conditions for Amorphous and Low Resistive ITO/In2O3 Films via Machine Learning, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
155. N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x Films on Sapphire Substrates, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
156. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
157. H. Hara, S. Nagaishi, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas velocity dependence of deposition rate and Si-H2 bond density of a-Si:H films deposited by multi-hollow discharge plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
158. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Relationship between Growth of Nanoparticles and Plasma Fluctuation due to Amplitude Modulated Discharge Voltage in Capacitively Coupled Reactive Plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
159. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki M. Shiratani, Growth Mechanism of Carbon Nanoparticles In Multi-Hollow Discharge Plasma Chemical Vapor Deposition (Invited), XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
160. M. Shiratani, K. Tomita, H. Ohtomo, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Position fluctuation of a fine particle trapped optically in Ar plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
161. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, T. Nakatani, Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
162. 永石翔大, 佐々木勇輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法による高品質SiN膜の低温(100度)形成, 令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019), 2019.06.
163. 浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリングによる可変バンドギャップ半導体(ZnO)x(AlN)1-xの創成, 令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019), 2019.06.
164. K. Koga, S. H. Hwang, T. Nakatani, J. S. Oh, K. Kamataki, N. Itagaki, M.Shiratani, Deposition of Carbon Nanoparticles Using Multi-Hollow Discharge Plasma CVD for Synthesis of Carbon Nanoparticle Composite Films, 46th International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2019), 2019.05.
165. K. Kamataki, M. Shiratani, Predictive insight based on grey box analysis of plasma process data, 2nd International Conference on Data Driven Plasma Science (2nd ICDDPS), 2019.05.
166. Kunihiro Kamataki, Ren Zhou, Hiroshi Ohtomo, Ryosuke Iwamoto, Daisuke Yamashita, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Fluctuation Level Dependence on Growth of Nano-Particles in Amplitude Modulated rf Discharge, ISPlasma2019, 2019.03.
167. 鎌滝 晋礼,周 靭, 大友 洋, 岩本 亮介, 山下 大輔,板垣 奈穂,古閑 一憲,白谷 正治, 反応性プラズマにおけるナノ粒子成長における変調レベル依存性, 日本物理学会第74回年次大会, 2019.03.
168. 鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子成長における変調レベル依存性, 日本物理学会第74回年次大会(2019年), 2019.03.
169. 古閑一憲, 大友洋, 真銅雅子, 鎌滝晋礼, 板垣奈穂, 白谷正治, 微粒子プラズマにおける2体衝突運動の顕微高速観察, 日本物理学会第74回年次大会(2019年), 2019.03.
170. 白谷正治, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲, プラズマ中の光捕捉微粒子に働く力の揺らぎ検出, 日本物理学会第74回年次大会(2019年), 2019.03.
171. 宮原 奈乃華、浦川 聖市、山下 大輔、鎌滝 晋礼、古閑 一憲、白谷 正治、板垣 奈穂, スパッタエピタキシーによるサファイア基板上(ZnO)x(InN)1-x薄膜の2段階成長, 第66回応用物理学会春季学術講演会, 2019.03.
172. 田中 和真、石 榴、原 尚志、永石 翔大、山下 大輔、鎌滝 晋礼、板垣 奈穂、古閑 一憲、白谷 正治, 高ガス流速下におけるシランプラズマ中で発生した粒子の堆積とその膜質への影響, 第66回応用物理学会春季学術講演会, 2019.03.
173. 鎌滝 晋礼、大友 洋、山下大輔、板垣 奈穂、古閑 一憲、白谷 正治, プラズマに関する学生実験が受講者の動機付けに与える影響, 第66回応用物理学会春季学術講演会, 2019.03.
174. S. H Hwang, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method, 第66回応用物理学会春季学術講演会, 2019.03.
175. Chawarambwa Fadzai、張 博辰、鎌滝 晋礼、板垣 奈穂、古閑 一憲、白谷 正治、徐 鉉雄, ダウン/アップコンバージョンナノ粒子を用いた色素増感太陽電池の特性改善, 第66回応用物理学会春季学術講演会, 2019.03.
176. 富田 健太郎、大友 洋、鎌滝 晋礼、板垣 奈穂、古閑 一憲、白谷 正治, Arプラズマ中の光捕捉微粒子を用いたシース近傍電界計測法の開発, 第66回応用物理学会春季学術講演会, 2019.03.
177. 鎌滝 晋礼、周 靭、大友 洋、山下 大輔、板垣 奈穂、古閑 一憲、白谷 正治, 反応性プラズマにおける相互作用揺らぎの時空間構造の周波数依存性, 第66回応用物理学会春季学術講演会, 2019.03.
178. Kunihiro Kamataki, Ren Zhou, Hiroshi Ohtomo, Daisuke Yamashita, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Frequency Dependence of Spatial Profile of Interaction Fluctuation between Plasma and Nanoparticles in Reactive Plasma, 6th Korea-Japan Joint Symposium /3rd International Symposium on Energy Research and Application, 2019.02.
179. Shota Nagaishi,Yusuke Sasaki, Kazuma Tanaka, Hisayuki Hara, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Cluster Incorporation Amount and Film Composition of SiN Films Fabricated by Multi-Hollow Discharge Plasma CVD Method, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
180. Hisayuki Hara, Kazuma Tanaka, Liu Shi, Shota Nagaishi, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Effects of clusters and higher-order silanes (HOSs) on surface roughness and Si-H2 bond density in a-Si:H films deposited by SiH4 plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
181. Kazuma Tanaka, Hisayuki Hara, Liu Shi, Shota Nagaishi, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Effects of film deposition precursors on FWHM into a-Si:H thin films deposited by plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
182. Kunihiro Kamataki, Ren Zhou, Hiroshi Ohtomo, Ryosuke Iwamoto, Daisuke Yamashita, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Amplitude Modulation Frequency Dependence of Growth of Nano-Particles in Reactive 52
Plasma, SPP36/SPSM31, 2019.01.
183. Kunihiro Kamataki, Shota Nagaishi, Yusuke Sasaki, Kazuma Tanaka, Hisayuki Hara, Daisuke Yamashita, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Relationship between Amount of Nano-particles Incorporation into SiN Film and Properties of Film by SiH4+N2 Plasma Chemical Vapor Deposition, SPP36/SPSM31, 2019.01.
184. K.Kamataki, R.Zhou, H.Ohtomo, R. Iwamoto, D.Yamashita, N.Itagaki, K.Koga, M. Shiratani , Growth of Nano-Particles in Reactive Plasma using Amplitude Modulated rf Discharge Voltage, 第19回微粒子研究会, 2018.12.
185. S. H Hwang, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Size and Structure Control of Carbon Nano-particles Synthesized by Multi-hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
186. K. Imoto, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani and N.Itagaki, Fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization: effects of target-substrate distance, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
187. Shota Nagaishi,Yusuke Sasaki, Kazuma Tanaka, Hisayuki Hara, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Deposition Rate and Cluster Incorporation Amount of SiN Films Fabricated by a Multi-Hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
188. Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Effects of N2, O2 gas composition ratio and humidity in plasma on plasma induced plant growth enhancement, 27th Annual Meeting of MRS-Japan 2018, 2018.12.
189. Hisayuki Hara, Kazuma Tanaka, Shota Nagaishi, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Effects of cluster-eliminating filter and gas velocity on SiH2 bond formation in a-Si:H films deposited by MHDPCVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
190. Kazuma Tanaka, Hisayuki Hara,Shota Nagaishi, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Effects of film deposition precursors on Raman intensity ITA/ITO of a-Si:H thin films fabricated by plasma CVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
191. S. H Hwang, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Effects of DC Bias Voltage on Deposition of Carbon Nano Particles Synthesized by Ar + CH4 Multi-Hollow Discharge Plasma , The 3rd Asian Applied Physics Conference, 2018.12.
192. Hisayuki Hara, Kazuma Tanaka, Shota Nagaishi, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Gas velocity dependence of Si-H2 bond density and surface roughness of a-Si:H films deposited by multi-hollow plasma CVD, The 3rd Asian Applied Physics Conference, 2018.12.
193. K.Kamataki,R.Zhou,H.Ohtomo,D.Yamashita,N.Itagaki,K.Koga,M. Shiratani , Effect of Plasma Fluctuation Frequency on Growth of Nanopariticles in Reactive Plasmas, The 3rd Asian Applied Physics Conference, 2018.12.
194. 永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVDによるSiN製膜時のクラスターの混入が膜物性へ与える影響, 第35回プラズマ・核融合学会年会, 2018.12.
195. H. Sung Hwa, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Growth Kinetics of Carbon Nano Particles Generated in an Ar/CH4 Multi-Hollow Discharge Plasmas, 第35回プラズマ・核融合学会年会, 2018.12.
196. 原尚志, 田中和真, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 高速ガス流マルチホロー放電プラズマの発光分光計測, 第35回プラズマ・核融合学会年会, 2018.12.
197. 井本 幸希,山下 大輔, 鎌滝 晋礼, 古閑 一憲, 白谷 正治, 板垣 奈穂, Ar/N2スパッタリングによる低抵抗アモルファスITO膜の作製:ターゲット-基板間距離の影響, 第35回プラズマ・核融合学会年会, 2018.12.
198. 大友 洋,周 靭,山下 大輔,鎌滝 晋礼,板垣 奈穂,古閑 一憲,白谷 正治, Arプラズマ中微粒子の相互作用の評価, 第35回プラズマ・核融合学会年会, 2018.12.
199. 鎌滝晋礼, 周靭, 大友洋,山下大輔,板垣奈穂,古閑一憲,白谷正治, 反応性プラズマにおけるプラズマ揺らぎとラジカルとナノ粒子成長の関係, 第35回プラズマ・核融合学会年会, 2018.12.
200. 周靭,鎌滝晋礼,大友洋,山下大輔,板垣奈穂,古閑一憲,白谷正治, 反応性プラズマ中のナノ粒子量揺らぎの構造解析, 第35回プラズマ・核融合学会年会, 2018.12.
201. 村岡宗一郎、呂佳豪、山下大輔、鎌滝晋礼、古閑一憲、白谷正治、板垣奈穂, RFマグネトロンスパッタ法を用いたSi(111)基板上でのZnO結晶成長における窒素不純物の効果, 第35回プラズマ・核融合学会年会, 2018.12.
202. 宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂, フラックス制御スパッタによるIn-rich (ZnO)x(InN)1-x膜のヘテロエピタキシー, 第35回プラズマ・核融合学会年会, 2018.12.
203. 田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマCVD法で作製したa-Si:H薄膜のSiネットワーク秩序性評価, 第35回プラズマ・核融合学会年会, 2018.12.
204. Naho Itagki, Daisuke Yamashita, Kunihiro Kamataki, Kazunori Koga, Masaharu Shiratani, Sputter Epitaxy via Inverse Stranski-Krastanov Growth Mode: A Method of Single Crystal Growth beyond Lattice Matching Condition, AVS Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2018), 2018.12.
205. Shota Nagaishi,Yusuke Sasaki, Kazuma Tanaka, Hisayuki Hara, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Time evolution of amount of cluster incorporation into SiNx films
and electron temperature of CVD Plasma, The 3rd Asian Applied Physics Conference, 2018.12.
206. S. Miuraoka, J. Lyu, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of nitrogen impurity on sputtering growth of ZnO films on Si(111) substrates, 2018 MRS Fall Meeting & Exhibit, 2018.11.
207. 鎌滝晋礼、周靭、大友洋、岩本亮介、山下大輔、板垣奈穂、古閑一憲、白谷正治, プラズマCVDにおけるプラズマ揺らぎとナノ粒子成長の関係, 第34回 九州・山口プラズマ研究会, 2018.11.
208. H. Sung Hwa, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of Gas Pressure on Size of Carbon Nanoparticles Prepared by Methane Plasma Process, 2st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
209. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, S. Nakano, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Suppression of HOS molecules incorporation in a-Si:H films fabricated by plasma CVD, 2st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
210. Naho Itagki, Nanoka Miyahara, Daisuke Yamashita, Kunihiro Kamataki, Kazunori Koga, Masaharu Shiratani, Sputter epitaxy of high quality (ZnO)x(InN)1-x: a new semiconducting material for excitonic devices, 2st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
211. Kunihiro Kamataki, Ren Zhou, Hiroshi Ohtomo, Daisuke Yamashita, Naho Itagaki, Kazunori Koga and Masaharu ShirataniKazunori Koga, Masaharu Shiratani, Impact of Amplitude Modulation of RF Discharge Voltage on the Spatial Profile of Nanoparticle Characteristics in Reactive Plasma, 2st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
212. Ren Zhou, Kunihiro Kamataki, Hiroshi Ohtomo, Daisuke Yamashita, Naho Itagaki, Kazunori Koga, Masaharu Shiratani , Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma
, 2st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
213. K. Imoto, N. Miyahara, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani and N.Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance, 40th International Symposium on Dry Process (DPS2018), 2018.11.
214. H.Ohtomo, R.Zhou, D.Yamashita, K.Kamataki, N.Itagaki, K.Koga, and M.Shiratani, Motion analysis of inter-particle interactions of three fine particles in Ar plasma, 40th International Symposium on Dry Process (DPS2018), 2018.11.
215. 永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+N2マルチホロー放電プラズマCVDによる
SiN製膜時の膜へのクラスターの取り込み, 放電/プラズマ・パルスパワー合同研究会, 2018.10.
216. K. Kamataki, K.Koga, M. Shiratani, Challenge for big data analysis in plasma process, 2018 Korea Physics Society (KPS) Fall Meeting, 2018.10.
217. Kunihiro Kamataki, Ren Zhou, Hiroshi Ohtomo, Daisuke Yamashita, Naho Itagaki, Kazunori Koga and Masaharu Shiratani, Effects of Plasma Fluctuation on Size Dispersion of Nano-Particles in Reactive Plasma, 28th Annual Meeting MRS-J , 2018.10.
218. 宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂, スパッタエピタキシーによるサファイア基板直上へのIn-rich (ZnO)x(InN)1-x 膜の作製, 第79回応用物理学会秋季学術講演会, 2018.09.
219. H. Sung Hwa, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, CH4+Arマルチホロー放電プラズマで生成したカーボンナノ粒子の基板堆積に対するガス流量の影響, 第79回応用物理学会秋季学術講演会, 2018.09.
220. 鎌滝晋礼, AL型授業における学習観の変容(学習動機と理解度に注目して)〜初年次教育における物理授業を一例として〜, 日本教育心理学会第60回総会, 2018.09.
221. H. Sung Hwa, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of CH4 Concentration on Size of Carbon Nano-Particles Formed in Multi-hollow Discharge Plasma, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
222. S. Nakano, K. Tanaka, H. Hara, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Improvement of Si network order of a-Si:H thin films by suppressing incorporation of HOS molecules, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
223. 鎌滝晋礼, プラズマ科学に関する研究&プラズマ科学を用いた教育, 第45回西日本放電懇談会, 2018.08.
224. Hiroshi Ohtomo, Ren Zhou, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga, and Masaharu Shiratani, Analysis of Inter-particle Interactions of Two Fine Particles in Ar Plasma, JP-KO dust workshop 2018, 2018.07.
225. Hisayuki HARA, Kazuma TANAKA, Syota NAGAISHI, Susumu TOKO, Daisuke YAMASHITA, Hyunwoog SEO, Naho ITAGAKI, Kunihiro KAMATAKI, Kazunori KOGA, Masaharu SHIRATANI, Effects of gas velocity dependence of Si-H2 bond density at P/I interface of a-Si:H layered films deposited by multi-hollow discharge plasma CVD., Workshop "Plasma surface interaction for technological applications" , 2018.06.
226. Hisayuki HARA, Kazuma TANAKA, Syota NAGAISHI, Susumu TOKO, Daisuke YAMASHITA, Hyunwoog SEO, Naho ITAGAKI, Kunihiro KAMATAKI, Kazunori KOGA, Masaharu SHIRATANI, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 29th Symposium on Plasma Physics and Technology (SPPT), 2018.06.
227. 田中和真, 原尚志, 石榴, 永石翔大, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, a‐Si:H 薄膜への高次シラン取り込みとSiネットワーク秩序性の関係, 平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会), 2018.06.
228. Kazunori Koga, Kunihiro Kamataki, Naho Itagaki and Masaharu Shiratani, A deep insight of plasma-nanoparticle interaction, 19th International Congress on Plasma Physics, 2018.06.
229. 鎌滝晋礼, 知識伝達型授業における部分的AL導入の効果〜初年次教育における物理授業を一例として〜, 日本物理学会第73回年次大会, 2018.03.
230. 鎌滝晋礼, 初年次学生における電磁気学の理解を深めるプラズマ実験授業, 第66回九州地区大学教育研究協議会, 2017.09.
231. 鎌滝晋礼, 科学実験が文系学部学生の専門教育課程に与える影響, 大学教育学会第39回大会, 2017.06.
232. KAMATAKI KUNIHIRO, Active Learning based on Science Experiments to Improve Scientific Literacy, The ICPE 2016 : 18th International Conference on Physics Education, 2016.09.
233. 鎌滝 晋礼, 初年次における文系学部学生への科学実験授業の影響, 第65回九州地区大学一般教育研究協議会, 2016.09.
234. 鎌滝 晋礼, 科学的思考力育成のための文系学生に対する科学実験の展開, 日本科学教育学会第 40 回年会, 2016.08.
235. 鎌滝晋礼, アクティブ・ラーニングに取り入れた科学実験・工作, 日本物理学会 第71回年次大会, 2016.03, 近年、「アクティブ・ラーニング(以下AL)」をキーワードとして、より具体的な形で大学教育の質的転換が唱えられている。そのような中「これからALが大学教育界に広がり深まるときに、どのような躓きの石」(AL失敗事例)を取りまとめたハンドブックが出され、グループワークがうまく機能しない原因として、学生の主体性や協調性の欠如等が挙げられている。このグループワークをうまく機能させるために様々な取組がなされる中、本取組は、グループワーク(特に議論から発表まで)をより機能させるための科学実験及び工作の役割について検証することを目的とする。単に座学の授業形式よりも、理科の実験授業に協同学習を導入した場合の方が、実験内容に関する学習効果が高いことが言われており、科学実験の有用性をALに活かしたいと考える。.
236. 鎌滝晋礼, 社会的責任養成としての科学実験, 大学教育学会2015年度課題研究集会, 2015.11, 大学1年生に対して、社会問題の意識を高め、社会的責任を養成し、21世紀型スキルの向上を目的に、科学実験を中心の協同学習授業を展開した。静電気や放電に関する実験を中心とした協同学習により、電気に対する知識だけでなく、日本の今のエネルギー問題を自分たちの問題であるとより自覚させ、将来のエネルギー問題を解決していくにはどのようにしたらよいかという主体性を学生に持たせることができた。科学実験を用いることで、21世紀型スキルの中の市民としての社会的責任などの「態度・指向性」、ならびに「統合的な学習経験と創造的思考力」の向上につながったことの関係性を検証する。.
237. 鎌滝晋礼, 科学実験を用いた課題解決型授業の展開, 第64回九州地区大学一般教育研究協議会, 2015.09.
238. 鎌滝晋礼, 学生に社会問題の意識を向上させる実験授業展開, 日本物理教育学会 第32回物理教育研究大会, 2015.08.
239. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
240. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
241. 金光善徳, 古閑一憲, 山下大輔, 鎌滝普礼, 徐鉉雄, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVD法で作製したナノ結晶シリコン薄膜を用いた光学バンドギャップ制御, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
242. 市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFマグネトロンスパッタリングと金薄膜触媒を用いた低温高速層交換Ge結晶成長, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
243. 片山龍, 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦 相良明男, LHD実験グループ, LHD内バイアス基板への長期ダスト堆積実験, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
244. 立石瑞樹, 片山龍, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行 増崎貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ・壁相互作用により発生したダストの容器壁堆積のその場検出, プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会, 2014.12.
245. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, Evaluaion of Si-H2 bond formation of a-Si:H film using cluster eliminating filter, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
246. 市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリングと触媒金属を用いたGe結晶薄膜の作製, Plasma Conference 2014, 2014.11.
247. 立石瑞樹, 片山龍, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとグラファイト壁相互作用により発生したダストのその場測定, Plasma Conference 2014, 2014.11.
248. 片山龍, 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 基板の光学特性に対するダスト堆積の影響, Plasma Conference 2014, 2014.11.
249. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Ge films for applying solar cells using RF magnetron sputtering and gold film catalyst, Global Photovoltaic Conference 2014, 2014.11.
250. T. Ito, D. Yamashita, H. Seo, K. KamatakiI, N. Itagaki, K. Koga, M. Shiratani, Modulation frequency dependence of bispectrum of laser light scattering intensity from nanoparticles formed in reactive plasmas, 67th Annual Gaseous Electronics Conference, 2014.11.
251. K. Koga, T. Ito, K. Kamataki, H. Seo, N. Itagaki, and M. Shiratani, Effects of amplitude modulated VHF discharge on coupling between plasmas and nanoparticles, 24th International Toki Conference, 2014.11.
252. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of Dust Deposition on Optical Characteristics of Mirrors Installed on First Wall in LHD, 15th Workshop on Fine Particle Plasmas, 2014.10.
253. M. Shiratani, T. Ito, M. Soejima, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, Single Fine Particle Trapped in Ar Plasma by Optical Tweezers, 15th Workshop on Fine Particle Plasmas, 2014.10.
254. G. Uchida, D. Ichida, H. Seo, S. Hashimoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size-controlled Ge Nanoparticle Synthesis and Their Application to Quantum-dot Solar Cells, 15th Workshop on Fine Particle Plasmas, 2014.10.
255. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara and LHD Experimental Group, Development of an in-situ Detection Method of Dust Particles Deposited on Vessel Wall Using Quartz Crystal Microbalances, 15th Workshop on Fine Particle Plasmas, 2014.10.
256. Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Characteristics of cluster eliminating filter for plasma CVD, 第75回応用物理学会秋季学術講演会, 2014.09.
257. 松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, 酸窒化物半導体ZnInONを用いた量子井戸型太陽電池の作製, 第75回応用物理学会秋季学術講演会, 2014.09.
258. 鎌滝 晋礼, 少人数クラスによる実験系学問分野におけるグループ学習の取組事例, 第63回 九州地区大学一般教育研究協議会, 2014.09.
259. K. Matsuhima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of In-rich ZnInON films by RF magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
260. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si QD/PEDOT:PSS Hybrid Solar Cells Using Si Nanoparticles Fabricated by Multi-Hollow Plasma CVD, 15th IUMRS-International Conference in Asia, 2014.08.
261. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, Real Time Deposition Measurement of Dust Particles Generated by Plasma-Wall Interaction, 15th IUMRS-International Conference in Asia, 2014.08.
262. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Substrate Temperature on Defect Density of Ge Nanoparticle Films, 15th IUMRS-International Conference in Asia, 2014.08.
263. S. Toko, Y. Hasahimoto, Y. Kanemitsu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Hysteresis of Cluster Amount in Multi-Hollow Discharge Plasma CVD Method, 15th IUMRS-International Conference in Asia, 2014.08.
264. T. Ito, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of optically trapped single fine particle in plasmas, 15th IUMRS-International Conference in Asia, 2014.08.
265. K. Koga, Y. Morita, T. Ito, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, Spatiotemporal Analysis of Nanoparticle Growth in Amplitude Modulated Reactive Plasmas for Understanding Interactions between Plasmas and Nanomaterials, 15th IUMRS-International Conference in Asia, 2014.08.
266. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Quartz crystal microbalance measurements for in-situ evaluation of dust inventory in fusion devices, 26th Symposium on Plasma Physics and Technology, 2014.06.
267. 市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ CVD で作成したGe半導体薄膜の光電特性, 平成26年度九州表面・真空研究会2014(兼第19回九州薄膜表面研究会), 2014.06.
268. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust collection with dc-biased substrates in large helical device, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
269. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Real time mass measurement of dust particles deposited on vessel wall using quartz crystal microbalances in a divertor simulator, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
270. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Si/SiC coreshell nanoparticle composite anode for Li ion batteries, 2014 MRS Spring Meeting, 2014.04.
271. 白谷正治, 古閑一憲, 森田康彦, 伊東鉄平, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, ナノ粒子含有振幅変調放電プラズマ中のAr準安定原子密度, 日本物理学会 第69回年次大会, 2014.03.
272. 永島芳彦, 伊藤早苗, 稲垣滋, 鎌滝晋礼, 荒川弘之,糟谷直宏, 矢木雅敏,藤澤彰英, 伊藤公孝, 空間積分データを用いた 条件付き仕分けによる乱流実験解析, 日本物理学会 第69回年次大会, 2014.03.
273. 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 反応性プラズマ中のナノ粒子成長とプラズマ揺らぎ, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
274. 松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高In組成ZnInON膜の作製, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
275. 森田康彦, 伊東鉄平, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲 , 白谷正治, 反応性プラズマにおけるナノ粒子成長のバイスペクトル解析, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
276. 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 水素原子源付きプラズマCVDで作製した炭素薄膜の堆積速度:圧力と電極間距離への依存性, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
277. 伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, プラズマ中に光捕捉した単一微粒子を用いたプラズマと界面の相互作用評価, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
278. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, シランプラズマ中のクラスター量に対する振幅変調放電の効果, 2014年 第61回応用物理学会春季学術講演会, 2014.03.
279. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Transport of fine particles produced by interactions between H2 plasmas and carbon wall, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science, 2014.03.
280. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Volume Fraction of Clusters in Si Thin Films Depoisted by Multi-Hollow Discharge Plasma CVD, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science, 2014.03.
281. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Qunatum-Dot Sensitized Solar Cells Using Ge Nanoparticle Films Deposited by High Pressure Rf Magnetron Sputtering Method, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science, 2014.03.
282. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Si nano-particles: Its quantum characteristics and application for photovoltaics (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
283. Y. Hashimoto, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective removal of clusters in silane plasmas by cluster eliminating filter, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
284. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
285. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Flux Control of Dust Particles Generated due to Interactions between Graphite Wall and H2 Plasmas using DC Biased Substrates, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
286. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Fabrication of Size-controlled Ge Nanoparticle Films Using High Pressure RF Sputtering Method for Quantum Dot Solar Cell, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
287. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of InN-rich ZnInON films for photovoltaic appplications by RF magnetron sputtering, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
288. K. Koga, Y. Hashimoto, S. Toko, D. Yamashita, Y. Torigoe, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Fabrication of highly stable a-Si:H solar cells by suppressing cluster incorporation (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
289. T. Ito, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, and M. Shiratani, Evaluation of Interactions between Plasmas and Interface using Optically Trapped Single Fine Particle, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
290. S. Hashimoto, D. Ichida, K. Kamataki, H. Seo, G. Uchida, N. Itagaki,, K. Koga, M. Shiratani, Effects of Hydrogen Dilution on Structure of Ge Quantum Dot Film Deposited by Using High Pressure rf Sputtering, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
291. Y. Torigoe,Y. Hashimoto, S. Toko, D. Yamashita, N. Itagaki, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Effects of Amplitude Modulation on Stability of Hydrogenated Amorphous Silicon Films Deposited using Multi-Hollow Discharge Plasma CVD Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
292. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Cluster Amount in Downstream Region of Multi-Hollow Silane Discharge Plasmas Studied by Laser Light Scattering Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
293. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida and M. Shiratani, Coupling between radicals in plasmas and nanoparticle growth in initial growth phase in reactive plasmas with amplitude modulation, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
294. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Bispectrum analysis of nanoparticle growth in reactive dusty plasmas, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
295. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Band gap control of nc-Si thin films deposited multi-hollow discharge plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
296. G. Uchida, D. Ichida, S. Hashimoto, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Photocurrent generation of quantum-dot sensitized solar cells using group-IV semiconductor nanoparticle films (Invited), 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
297. Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
298. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
299. Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
300. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
301. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
302. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Spatial profile of flux of dust particles in hydrogen helicon plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
303. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Raman spectroscopy of a fine particle optically trapped in plasma, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
304. T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
305. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
306. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
307. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
308. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
309. G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
310. M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida, A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
311. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited), 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
312. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanoparticle composite plasma CVD films Fundamental and applications (Invited), The 9th EU-Japan Joint Symposium on Plasma Processing, 2014.01.
313. 都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDにおけるa-Si:H膜中クラスター混入量に対する振幅変調放電の効果, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
314. 押川晃一郎, 板垣奈穂, 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 山下大輔, I. Suhariadi, マグネトロンスパッタ法による窒素添加結晶化バッファー層を用いた低抵抗In2O3:Sn薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
315. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリング法による狭ギャップ半導体ZnInON膜の高品質結晶成長, プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会, 2013.12.
316. 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, プラズマを用いたⅣ族半導体ナノ粒子膜の堆積とそのデバイス応用 (Invited), 第16回プラズマエレクトロニクス分科会 プラズマ新領域研究会, 2013.12.
317. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, LHD Experimental Group, Transport of Carbon Dust Particles Produced by Interactions between H2 Plasmas and Graphite Target, 14th Workshop on Fine Particle Plasmas, 2013.12.
318. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Correlation between Plasma Fluctuation and Nanoparticle Amount in Initial Growth Phase in Reactive Plasmas with Amplitude Modulation, 14th Workshop on Fine Particle Plasmas, 2013.12.
319. M. Shiratani, K. Koga, Y. Morita, S. Iwashita, H. Seo, K. Kamataki, N. Itagaki, G. Uchida, Time evolution of spatial profile of nanoparticle amount in amplitude modulated capacitively coupled reactive plasmas, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
320. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage of Multi-Hollow Silane Discharges on Amount of Clusters Formed in the Discharges, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
321. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Ge nanoparticle composite films and their application to solar cells, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
322. I. Suhariadi, K. Oshikawa, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Morphological Characterization of ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization: Effects of Substrate Temperature, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
323. 押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, Magnetron sputtering of low-resistive transparent conductive oxide films with double buffer layers fabricated via nitrogen mediated crystallization, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
324. K. Koga, G. Uchida, D. Ichida, S. Hashimoto, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Quantum dot sensitized solar cells using group IV semiconductor nanoparticles (Invited), 2013 EMN Fall Meeting , 2013.12.
325. 古閑一憲, 森田康彦, 岩下伸也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, ナノ粒子成長に対するプラズマ摂動周波数の効果, プラズマ・核融合学会 第30回年会, 2013.12.
326. 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとグラファイト壁の相互作用により発生するダスト粒子の輸送, プラズマ・核融合学会 第30回年会, 2013.12.
327. M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, K. Kamataki, Nanostructure control of Si-based solar cells using plasma CVD (Invited), THERMEC 2013, 2013.12.
328. 伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中で発生するナノ粒子量の時空間分布, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
329. 鳥越祥宏, 橋本優史, 都甲将, 金淵元, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 振幅変調マルチホロー放電プラズマCVD法を用いた
水素化アモルファスシリコン薄膜の作製, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
330. 橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFマグネトロンスパッタリング法を用いて作製したGeナノ粒子膜の特性, 平成25年度応用物理学会九州支部学術講演会, 2013.12.
331. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Control of plasma CVD films containing group IV nanoparticles (Invited), International Conference on Surface Engineering (ICSE 2013), 2013.11.
332. K. Koga, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Deposition of Ge Nanoparticle Films and Their
Application to Ge Quantum-dot Sensitized
Solar Cells, The 23rd International Photovoltaic Science and Engineering Conference, 2013.11.
333. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective Removal of Clusters in Silane Plasmas Using Cluster Eliminating Filter, The 23rd International Photovoltaic Science and Engineering Conference, 2013.10.
334. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Size-controlled Ge Nanoparticle Film by Highpressure rf Magnetron Sputtering for Quantum Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
335. K. Matsushima, R. Shimizu, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, PS+TF-MoA11 Two-dimensional Growth of Novel ZnO based Semiconductor ZnInON with Tunable Bandgap by Magnetron Sputtering, AVS 60th International Symposium and Exhibition, 2013.10.
336. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocurrent Generation Characteristics of Ge Quantum-Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
337. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The performance enhancement of dye and quantum dot hybrid nanostructured solar cell with barrier coating, Taiwan Associatoin for Coatings and Thin Films Technology, 2013.10.
338. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster incorporation during amplitude modulated VHF discharge silane plasmas, 66th Annual Gaseous Electronics Conference , 2013.10.
339. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Ar/N2 Magnetron Sputtering Discharges to Control Growth of Transparent Conducting Oxide Films, 66th Annual Gaseous Electronics Conference , 2013.10.
340. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in quantum-dot sensitized solar cells using Ge nanoparticle films, Solid State Devices and Materials 2013 (SSDM) , 2013.09.
341. 金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法で堆積したSiナノ粒子膜の光学的バンドギャップ制御, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
342. 伊東鉄平, 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, ハイスピードカメラを用いたナノ粒子成長プロセスの観測, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
343. 白谷正治, 森田康彦, 岩下伸也, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 鎌滝晋礼, ナノ粒子含有プラズマ系におけるナノ粒子成長, 日本物理学会2013年秋季大会, 2013.09.
344. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
345. N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G.Uchida, K. Koga, M. Shiratani, Synthesis and Characterization of Oxynitride Semiconductor ZnInON with Tunable Bandgap, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
346. G. Uchida, D. Ichida, Y. Kanemitsu, H. Seo, K. Kamataki, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Production of SiC nanoparticles for Li-ion battery applications by using a high pressuremulti-hollow discharge plasma CVD, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
347. M. Shiratani, D. Yamashita, K. Koga, K. Kamataki, N. Itagaki, G. Uchida, Plasma Etching of Single Fine Particle Trapped By Optical Tweezers, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
348. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on structure of Ge nanoparticle films deposited by high-pressure RFmagnetron sputtering method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
349. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
350. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of filter gap of cluster eliminating filter on cluster eliminating efficiency, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
351. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Dependence of Volume Fraction of Si Clusters in Films on Amplitude Modulation Frequencyin Multi-Hollow Discharge Plasma CVD Method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
352. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, U. Czarnetzki, Carbon Nanostructure formed by high pressure methane plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
353. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Amplitude modulation frequency dependence of nanoparticle amount in plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
354. 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマにおけるナノ粒子量空間分布の変調周波数依存性, 第74回応用物理学会秋季学術講演会, 2013.09.
355. 橋本優史, 都甲将, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, シラン放電プラズマ初期のクラスター発生と膜への取り込み , 第74回応用物理学会秋季学術講演会, 2013.09.
356. 市田大樹, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, RFスパッタリング法を用いた結晶Geナノ粒子膜の堆積, 第74回応用物理学会秋季学術講演会, 2013.09.
357. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Band-Gap Engineering of ZnO Based Semiconductors Deposited by Sputtering, 2013 JSAP-MRS Joint Symposia, 2013.09.
358. 都甲将, 金淵元, 橋本優史, 金光善徳, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマからのクラスター流出量のガス流速依存性 , 第74回応用物理学会秋季学術講演会, 2013.09.
359. 松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInON膜の作製-Ar分圧依存性-, 第74回応用物理学会秋季学術講演会, 2013.09.
360. Y. Kim, S. Toko, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Effects of amplitude modulation of rf discharge voltage on silane plasmas in initial phase, Dry Process Symposium 2013, 2013.08.
361. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Contribution of ionic deposition precursors to Si thin film deposition, Dry Process Symposium 2013, 2013.08.
362. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Deposition of Ge nanoparticle films by high-pressure rf magnetron sputtering method for quantum dot solar cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
363. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Time evolution of spatial profile of nanoparticle amount in reactive plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
364. M. Shiratani, Y. Morita, S. Iwashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Tuning Size Distribution of Nanoparticles Formed in Reactive Plasmas using Plasma Parameter Modulation, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
365. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Characteristics of GeSn nanoparticle films deposition by high-pressure rf magnetron sputtering method, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
366. Y. Morita, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, D. Yamashita and M. Shiratani, Observation of nanoparticle growth process using high speed camera, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
367. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Coreshell nanoparticles generated by plasma CVD and their applications to Li ion batteries, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
368. Y. Morita, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Transport of nanoparticles produced in reactive plasmas using a positively-biased collector, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
369. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani, Infrared Laser Manipulation of Single Fine Particle Levitated at Plasma/Sheath Boundary, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
370. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, U. Czarnetzki, High Pressure Nonthermal Methane Plasmas for Nanoparticle Production, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
371. D. Ichida, G. Uchida, H. Seo, K. Kamatakia, N. Itagaki, K. Koga, M. Shiratani, Effects of H2 gas addition on structure of Ge nanoparticle films deposited by high-pressure rf magnetron sputtering method, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
372. M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga, Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
373. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Combinatorial plasma CVD of Si nanoparticle composite films for band gap control, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
374. I. Suhariadi, K. Oshikawa, H. Seo, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Study on the crystal growth mechanism of ZnO films fabricated via nitrogen mediated crystallization, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
375. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group d, S. Bornholdte, H. Kersten, Effects of plasma incident angle of dust production due to interactions between hydrogen plasmas and carbon wall, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
376. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of grid DC bias on incorporation of Si clusters into amorphous silicon films by multi-hollow discharge plasma CVD, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
377. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, and M. Shiratani, Sputter Deposition of Single Crystal ZnO Films on 18% Lattice mismatched c-Al2O3 Substrates via Nitrogen Mediated Crystallization, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
378. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, and K. Kamataki, Control of nanostructure of plasma CVD Si thin films (Invited), Japan-Australia Workshop on Gaseous Electronics and Its Applications (JAWS25), 2013.06.
379. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis on the paint-type Si quantum dot-sensitized solar cells
, International Symposium on Green Manufacturing and Applecations, 2013.06.
380. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Volume fraction of clusters in Si thin films in initial deposition phase of plasma CVD, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
381. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust flux control in high density hydrogen plasmas using DC biased substrates, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
382. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and K. Koga, Advanced plasma sources and processes for energy harvesting devices (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
383. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Advance in quantum dot-sensitized solar cells using group IV semiconductor nano-particles (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
384. 板垣奈穂, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 高効率太陽電池の実現に向けた新規酸窒化物半導体ZnInONのバンドギャップエンジニアリング, 第10回 「次世代の太陽光発電システム」シンポジウム, 2013.05.
385. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanostructure of plasma CVD films containing nanoparticles (Invited), International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2013), 2013.04.
386. 内田儀一郎, 王玉亭, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子膜を用いたSi/PEDOT:PSS太陽電池の特性評価 , 2013年第60回応用物理学会春季学術講演会, 2013.03.
387. 金淵元, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Silicon cluster volume fraction of silicon thin films prepared by multi-hollow plasma discharge CVD, 2013年第60回応用物理学会春季学術講演会, 2013.03.
388. 古閑一憲, 森田康彦, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 振幅変調放電プラズマ中のナノ粒子成長初期におけるナノ粒子量の時空間分布, 2013年第60回応用物理学会春季学術講演会, 2013.03.
389. 板垣奈穂, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, スパッタ法によるC面サファイア基板上への原子平坦ZnO薄膜の作製, 2013年第60回応用物理学会春季学術講演会, 2013.03.
390. 松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInONの作製, 2013年第60回応用物理学会春季学術講演会, 2013.03.
391. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Fluctuation in Plasma Processes (Invited), The 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
392. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of zinc-indium oxynitride semiconductors with narrow bandgap for excitonic transistors, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
393. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and The LHD Experimental Group , Evaluation of etching effects due to H+ ions on dust transport using local bias potential, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
394. G. Uchida, Y. Wang, H. Seo, D. Ichida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Energy conversion efficincy of Si nanoparticle/PEDOT:PSS qunatum-dot solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
395. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, and N. Itagaki, Effects of deposition temperature on properties of epitaxial ZnInON films for solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
396. Y. Hashimoto, K. Hatozaki, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of Voc of a-Si:H solar cells on distance between discharge and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
397. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, Cycle characteristics of lithium ion batteries using Si/SiC core-shell nanoparticle anode, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
398. K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Spatio-temporal structure of growth of nano-particles with without amplitude modulation in reactive plasmas, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
399. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, Time evolution of nanoparticle size in reactive plasmas: comparison between theory and experiments, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
400. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of silcion cluster volume fraction in silicon thin films measured with quartz crystal microbalances, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
401. H. Seo, Y. wang, D. Ichida, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The fabrication of paint-type Si quantum dot-sensitized solar cells and ZnS post-treatment (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
402. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Tuning nanostructures of plasma CVD films (Plenary), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
403. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of atomically-flat ZnO films on lattice mismatched substrates via nitrogen mediated crystallization (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
404. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for MQW solar cells by RF magnetron sputtering, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
405. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition rate dependence of silicon cluster volume fraction in silicon thin films deposited by multi-hollow plasma discharge CVD, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
406. 白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄, 反応性プラズマ中の微粒子成長速度の時空間相関, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
407. 金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜のバンドギャップ制御, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
408. 森田康彦, 鎌滝晋礼, 内田儀一郎, 金淵元, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己, ダブルマルチホロープラズマCVDを用いたリチウムイン電池用SiCナノ粒子膜のコンビナトリアル生成, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
409. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Siナノ粒子/PEDOT:PSSを用いた量子ドット太陽電池の作製, 第30回プラズマプロセシング研究会(SPP-30), 2013.01.
410. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Etching Effects of Hydrogen Ions on Dust Collection Using Local Bias Potential, 2013 International Symposium on Information Science and Electrical Engineering, 2013.01.
411. 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 反応性プラズマ中でのナノ粒子成長の時空間分布, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
412. 白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄, 反応性プラズマとナノ構造の相互作用の長距離相関モデル, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
413. 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 大型ヘリカル装置の主放電時に発生するダストの局所バイアス電圧を用いた除去, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
414. 古閑一憲, 岩下伸也, 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 重水素ヘリコンプラズマとグラファイト壁の相互作用により発生したダストの捕集, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
415. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いたSiナノ粒子膜の堆積と有機・無機ハイブリッド型太陽電池への応用, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
416. 金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝普礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜の光学特性, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
417. 廣瀬忠史, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリング法による高効率量子井戸型太陽電池のための狭バンドギャップ酸窒化物半導体の作製, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
418. 橋本優史, 波戸崎浩介, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, SiH4マルチホロー放電プラズマの発光分光計測, プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会, 2012.12.
419. G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, T. Ishihara, and M. Shiratani, Application of Si nanoparticles to energy devices: quantum-dot solar cells and Li ion batteris (Invited), The 69th IUVSTA Workshop, 2012.12.
420. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, SiC/Si nanoparticle anode of lithium ion batteries fabricated using double multi-hollow plasma CVD, 第13回微粒子プラズマ研究会, 2012.12.
421. M. Tateishi, S. Iwashita, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust particle formation due to interaction between deuterium helicon plasmas and graphite wall, 第13回微粒子プラズマ研究会, 2012.12.
422. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagar2, and the LHD Experimental Group, Dust Collection on DC Biased Substrates during Glow Discharges in the Large Helical Device, 第13回微粒子プラズマ研究会, 2012.12.
423. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga , A simplified model of a relationship between plasma fluctuation and size distribution of nanoparticles formed in reactive plasmas, 第13回微粒子プラズマ研究会, 2012.12.
424. 松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 低温スパッタリング法による高効率量子井戸型太陽電池のための新規酸窒化物半導体ZnInONの形成, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
425. 押川晃一郎, I. Suhariadi, 桑原和成, 山下 大輔, 徐 鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, 窒素添加結晶化バッファー層を用いた低抵抗ZnO:Al薄膜の作製: バッファー層形成時における酸素供給量の効果, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
426. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, ヘリコンプラズマ装置における捕集基板上ダストへのエッチング効果の考察, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
427. 市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, Si ナノ粒子/PEDOT:PSS 量子ドット太陽電池のエネルギー変換効率, 平成24年度応用物理学会九州支部学術講演会, 2012.12.
428. 古閑一憲, 岩下伸也, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, グラファイトと重水素プラズマの相互作用で発生したダスト捕集, プラズマ・核融合学会 第29回年会, 2012.11.
429. 立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 局所バイアス電圧印加基板で捕集したカーボンダスト量に対する水素プラズマエッチングの効果, プラズマ・核融合学会 第29回年会, 2012.11.
430. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, ダイバータシミュレータで発生したカーボンダストの生成と輸送の放電電力の効果, プラズマ・核融合学会 第29回年会, 2012.11.
431. 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 白谷正治, 放電電力変調反応性プラズマにおけるナノ粒子成長の時空間構造の観測, プラズマ・核融合学会 第29回年会, 2012.11.
432. N. Itagaki, K. Kuwahara, K. Matsushima, T. Hirose, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Epitaxial Growth of ZnO Based Semiconductors via Impurity-Additive Mediated Crysallization, 2012 MRS Fall Meeting, 2012.11.
433. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors, The 34th International Symposium on Dry Process , 2012.11.
434. 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 白谷正治, プラズマプロセスにおける揺らぎのダイナミックス, 九州山口プラズマ研究会、応物新領域研究会, 2012.11.
435. K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of atomically smooth ZnO films with buffer layers crystallized via nitrogen mediation, AVS 59th International Symposium & Exhibition, 2012.11.
436. Y. Morita, K. Kamataki, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4/H2 and CH4 multi-hollow discharge plasma CVD of SiC nano-composite anode for high charge-discharge capacity lithium ion batteries, AVS 59th International Symposium & Exhibition, 2012.11.
437. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of ZnInON Films for Piezo-Electric-Field Effect MQW Solar Cells, AVS 59th International Symposium & Exhibition, 2012.11.
438. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Long-range correlation of nanoparticle growth in in low pressure reactive VHF discharge plasmas, 54th Annual Meeting of the APS Division of Plasma Physics (DPP), 2012.10.
439. G. Uchida, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in Si quantum-dot sensitized solar cells, AVS 59th International Symposium & Exhibition, 2012.10.
440. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Impacts of plasma fluctuation on growth of nanoparticles in low pressure reactive VHF discharge plasmas, 65th Annual Gaseous Electronics Conference (GEC), 2012.10.
441. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Control of Dust Flux in LHD and in a Divertor Simulator, (IAEA) 24th Fusion Energy Conference , 2012.10.
442. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of amount of Si clusters incorporated during film deposition using quartz crystal microbalances, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
443. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, Fabrication of ZnO Buffer Layers via Nitrogen-Mediated Crystallization for ZnO:Al Transparent Conducting Oxide: Effects of Oxygen Addition, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
444. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Thin Films for Multiple-Quantum–Well Solar Cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
445. D. Yamashita, K. Koga, S. Kitazaki, K. Kamataki, G. Uchida, N. Itagaki, M. Shiratani, Time evolution of diameter of laser trapped single dust particle in plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
446. Y. Hashimoto, K. Hatozaki, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Temperature dependence of fill factor of a-Si:H Schottky cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
447. K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of epitaxial ZnO films: effects of O2 partial pressure, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
448. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, High capacity lithium ion batteries using SiC nanoparticles, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
449. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for solar cell application by N2/Ar sputtering, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
450. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, Control of size distribution of nanoparticles produced in reactive plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
451. G. Uchida, N. Sadegh, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Temporal Evolution Of Number Density Of Ar Metastable Atoms In Dusty Plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
452. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Control Of ZNO Nano-Rod With Various Seeds And Photovoltaic Application, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
453. N. Itagaki, K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Semiconductors for Piezo-Electric-Field Effect MQW Solar Cells, IUMRS‐ICEM 2012 , 2012.09.
454. K. Kuwahara, N. Itagaki, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, ZnO films with buffer layers crystallized via nitrogen mediation: effects of thickness of buffer layers, IUMRS‐ICEM 2012 , 2012.09.
455. I. Suhariadi, K. Oshikawa, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO Films via Nitrogen-Mediated Crystallization as Buffer Layers for ZnO:Al Transparent Conducting Oxide, IUMRS‐ICEM 2012 , 2012.09.
456. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Zn-In-ON films with tunable optical bandgap for photovoltaic applications, IUMRS‐ICEM 2012 , 2012.09.
457. H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Enhancement of light harvesting efficiency with multi-layered electrode of Si quantum dot-sensitized solar cells, IUMRS‐ICEM 2012 , 2012.09.
458. Y. Wang, J. Boo, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of V-doped TiO2 on Performance Si QDSCs, IUMRS‐ICEM 2012 , 2012.09.
459. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using a cluster eliminating filter, IUMRS‐ICEM 2012 , 2012.09.
460. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Selective deposition of nanoparticles to valleys of texture substrates , IUMRS‐ICEM 2012 , 2012.09.
461. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances, IUMRS‐ICEM 2012 , 2012.09.
462. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si quantum-dot thin films for solar cell applications using multi-hollow discharge plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
463. G. Uchida, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, An infrared laser trap of a single dust particle for study of plasma-surface interactions , IUMRS‐ICEM 2012 , 2012.09.
464. K. Kamataki, M. Shiratani, T. Ishihara, H. Nagano, Y. Morita, K. Kuwahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, High Capacity Li Ion Battery Anodes Using Silicon Carbide Nanoparticles Produced by Double Multi-Hollow Discharge Plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
465. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, In-situ Measurements of Size Distribution of Nanoparticles Formed in Reactive Plasmas Using a Laser Light Scattering Method, IUMRS‐ICEM 2012 , 2012.09.
466. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, A Theoretical Model of a Relationship between Plasma Fluctuation and Nanostructure Fluctuation, IUMRS‐ICEM 2012 , 2012.09.
467. 廣瀬忠史, 松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、板垣奈穂、 古閑一憲、 白谷正治, 高効率量子井戸型太陽電池のための新規窒化物半導体薄膜の作製 , 第6回プラズマエレクトロニクスインキュベーションホール, 2012.09.
468. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on the fabrication of paint-type Si quantum dot-sensitized solar cells, International Conference on Electronic materials and Nanotechnology for Green Environment (ENGE 2012), 2012.09.
469. K. Koga, D. Yamashita, S. Kitazaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Optical Trapping of Single Fine Particle in Plasmas for study of interactions between a fine particle and plasmas, NANOSMAT 2012, 2012.09.
470. K. Koga, T. Urakawa, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile and properties of plasma CVD carbon films, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
471. N. Itagaki, I. Suhariadi, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
472. 内田儀一郎, 鎌滝晋礼, 森田康彦, H. Seo, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治, 微粒子プラズマ中におけるAr準安定粒子密度の空間分布計測, 第73回応用物理学会学術講演会, 2012.09.
473. 森田康彦, 鎌滝晋礼, 内田儀一郎, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己 , 大容量リチウムイオン電池用プラズマCVD生成SiCナノ粒子負極の開発, 第73回応用物理学会学術講演会, 2012.09.
474. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, N. Hayashi, Growth Enhancement of Plants by Combinatorial Plasma Irradiation, The 9th International Bioelectrics Symposium (BIOELECTRICS 2012) , 2012.09.
475. H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki and M. Shiratani, The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si, International Union of Materials Research Society – International Conference in Asia – 2012 (IUMRS-ICA-2012), 2012.08.
476. M. Shiratani, N. Itagaki, K. Koga, Uchida G., K. Kamataki, H. Seo, Nanostructure control of thin films depoisted by plasmas and its application to fabrication of green energy devices (Invited), (APT2012) The 2nd Advanced Plasma Technology for Green Energy and Biomedical Applications, 2012.08.
477. G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si nano-particle films for Si quantum-dots sensitized solar cells, The 6th International Conference on Technological Advances of Thin Films and Surface Coating, 2012.07.
478. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Impacts of plasma fluctuation on nanoparticle growth in reactive plasmas, IEEE ICOPS2012, 2012.07.
479. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, ダイバータシミュレータ中のカーボンナノダストの生成と輸送に関する実験, 第9回核融合エネルギー連合講演会, 2012.06.
480. H. Seo, Y. wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The fabrication of multi-layered Si quantum dot-sensitized solar cells for better light harvesting (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
481. Y. Morita, K. Kamataki, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, M. Shiratani, Observation of growth of nano-particles using a high speed camera, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
482. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON films with high crystallinity for photovoltaic applications, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
483. Y. Hashimoto, K. Hatozaki, Y. Kim, G.u Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films with a cluster eliminating filter, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
484. G. Uchida, K. Kamataki, H. Seo, Y. Morita, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Application of SiC nanoparticle films to energy conversion devices: lithium ion batteries and quantum-dot solar cells (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
485. 板垣奈穂、松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、古閑一憲、白谷正治, 高効率量子井戸型太陽電池のための新規酸窒化物半導体薄膜の作製, 第9回「次世代の太陽光発電システム」シンポジウム, 2012.05.
486. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of Substrate DC Bias Voltage on Dust Collection Efficiency in Large Helical Device, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
487. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki,, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge Power Dependence of Carbon Dust Flux in a Diverter Simulator, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
488. H. Seo, Y. Kim, M. Sato, Y. Wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The analysis on the optical properties of size-controllable Si nano-particles by multi-hollow plasma discharge CVD, 2012 MRS Spring Meeting, 2012.04.
489. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Study for Chracterization of Nanoparticle-Suppressed Plasma CVD for Microcrystalline Film Deposition, 2012 MRS Spring Meeting, 2012.04.
490. M. Shiratani, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition, 2012 MRS Spring Meeting, 2012.04.
491. 板垣奈穂, I. Suhariadi, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化バッファー層によるZnO:Al 薄膜の結晶性制御: 窒素供給量の影響, 第59回応用物理学関係連合講演会, 2012.03.
492. 白谷正治, 鎌滝晋礼, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, プラズマ揺らぎとプラズマ生成ナノ粒子のサイズ分布実験と理論, 第59回応用物理学関係連合講演会, 2012.03.
493. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, L H D実験グループ, ダストの生成に対する熱流束の影響とダストフラックスの制御, 第59回応用物理学関係連合講演会, 2012.03.
494. 橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 徐絃雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたP ドープn 型a-Si:H の製膜, 第59回応用物理学関係連合講演会, 2012.03.
495. Y. Kim, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Effects of Silicon Nano-particles on Properties of Microcrystalline Silicon Thin Films Frabricated using Multi-hollow Discharge CVD Plasmas, 第59回応用物理学関係連合講演会, 2012.03.
496. 内田儀一郎, 佐藤宗治, 徐鉉雄, 王玉亭, 鎌滝普札, 板垣奈穂, 古閑一憲, 白谷正治, 窒化Si ナノ粒子膜を用いた量子ドット増感型太陽電池の効率波長依存性, 第59回応用物理学関係連合講演会, 2012.03.
497. K. Koga, K. Kamataki, S. Nunomura, S. Iwashita, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, U. Czarnetzki, Three growth modes of nanoparticles generated in reactive plasmas, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
498. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Properties of microcrystalline silicon thin films with/without silicon nanoparticles deposited by multi-hollow plasma CVD, The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
499. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Plasma fluctuation and plasma nanotechnologies (Invited), The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
500. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Chracteristics of Nanoparticle-supressed CVD Plasmas for Microcrystalline Silicon Film Deposition, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
501. I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, K. Nakahara, D. Yamashita, H. Seo, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effect of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
502. M. Shiratani, K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, A-Si:H Schottky Cells with Quite Low Light Induced Degradation Fabricated by Multi-hollow Discharge Plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
503. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Interaction between amplitude modulated plasmas and nanoparitcles growth in the plasmas, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
504. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. MasuzakiI, K. Nishimura, A. sagara, The LHD Expreimental Group, S. Bornholdt, H. Kersten, Mesurements of energy influx towards a graphite target in helicon H2 discharge plasmas using a calorimetric probe , 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
505. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Impacts of plasma fluctuation on nanostructure formation using plasmas (Invited), The Third International Symposium on Plasma Nanoscience (iPlasmaNano-III), 2012.02.
506. 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, プラズマとナノ界面の相互作用の制御, 東北大学電気通信研究所共同プロジェクト研究会, 仙台"プラズマフォーラム", 2012.02.
507. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of nano-particles on multi-hollow discharge CVD plasmas for microcrystalline silicon thin film deposition, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
508. H. Seo, M. Sato, Y. wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the combination between TiO2 and Si nano-particles for higher performance of Si quantum dot-sensitized solar cells (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
509. M. Shiratani, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, Recent progress in frontier science of interactions between plasmas and nano-interfaces (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
510. K. Matsushima, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Novel Oxynitride Semiconductors by Magnetron Sputtering for Photovoltaic application, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
511. T. Matsunaga, Y. Kim, K. Koga, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Effects on nano-patricls on crystalline orientation of microcrystalline silicon films for solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
512. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of Nano-particles on Properties of Microcrystalline Silicon Thin Films Fabricated using Multi-hollow Discharge CVD Plasmas, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
513. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of deposition temperature on the properties of ZnO films fabricated via nitrogen mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
514. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, Effect of N2/Ar Flow Rate Gas Ratio on the AZO Thin Films with Buffer Layers Deposited via Nitrogen Mediated Crystallization, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
515. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust Removal by Applying Bias Voltage to Reactor Wall in Large Helical Device, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
516. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge power dependence of dust flux in helicon discharge reactor, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
517. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films with a cluster eliminating filter, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
518. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio, 第21回日本MRS学術シンポジウム, 2011.12.
519. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Performance of quantum dot sensitized solar cells with nitridated Si nanoparticle films, 第21回日本MRS学術シンポジウム, 2011.12.
520. M. Shiratani, K. Nakahara, K. Hatozaki, H. Seo, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Stable a-Si:H Schottky Cells Fabricated by Multi-hollow Discharge Plasma CVD, 第21回日本MRS学術シンポジウム, 2011.12.
521. 鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマにおけるナノ粒子生成に対する放電電力摂動の効果, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
522. 森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 徐鉉雄, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. BORNHOLDT, H. KERSTEN, 水素プラズマ-カーボン壁相互作用によるダスト生成に対する壁への熱流速の影響, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
523. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, クラスタ取込抑制マルチホロー放電プラズマCVD法で作製した光安定水素化アモルファスシリコン薄膜のショットキーセル特性, プラズマ・核融合学会 第15回九州・沖縄・山口支部大会, 2011.12.
524. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten, 水素プラズマのグラファイト壁への熱フラックスとダスト生成, PWI合同研究会, 2011.12.
525. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
526. N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
527. T. Matsunaga, Kim Y., K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
528. K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
529. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, A study of optical emission spectroscopy on microcrystalline silicon film using multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
530. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of substrate bias voltage on dust collection efficiency, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
531. M. Shiratani, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Control of size distribution of nanoparticles in reactive plasmas by using AM rf discharges, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
532. 松島宏一, 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化法により作製したエピタキシャル酸化亜鉛薄膜の特性の成膜温度依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
533. 橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたP ドープa-Si;H の製膜ドープ量依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
534. 森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHDおよびLHDを模擬したヘリコン装置における水素ガスとグラファイトの相互作用により生じたダストの基板へのフラックスの基板バイアス電圧依存性, 平成23年度応用物理学会九州支部学術講演会, 2011.11.
535. 松永剛明, 金淵元, 古閑一憲, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 微結晶シリコン薄膜作製用マルチホロー放電プラズマに対するナノ粒子の影響, Plasma Conference 2011 (PLASMA2011), 2011.11.
536. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD 実験グループ, S. Bornholdt, H. Kersten, 高密度水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるカーボンダスト粒子の局所バイアス電圧印加による捕集, Plasma Conference 2011 (PLASMA2011), 2011.11.
537. 波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 高速ガス流マルチホロープラズマCVD法を用いた高光安定クラスタフリーa-Si:H膜の作製, Plasma Conference 2011 (PLASMA2011), 2011.11.
538. 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマで作製した微結晶シリコン膜へのラジカルフラックス評価, Plasma Conference 2011 (PLASMA2011), 2011.11.
539. 白谷正治, 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, プラズマCVDで成膜したSi薄膜へのナノ粒子含有の効果, Plasma Conference 2011 (PLASMA2011), 2011.11.
540. 桑原和成, 中原賢太, 山下大輔, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂, スパッタリングプラズマへの窒素ガス添加のエピキシャルZnO薄膜物性に対する効果, Plasma Conference 2011 (PLASMA2011), 2011.11.
541. 中原賢太, 波戸﨑浩介, 橋本優史, 松永剛明, 佐藤宗治, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, クラスター除去フィルタを用いたクラスターフリー水素化アモルファスシリコンの製膜, Plasma Conference 2011 (PLASMA2011), 2011.11.
542. Y. Nagashima, S. Itoh, S. Inagaki, H. Arakawa, N. Kasuya, A. Fujisawa, K. Kamataki, T. Yamada, S. Shinohara, S. Oldenburger, M. Yagi, Y. Takase, P. H. Diamond, and K. Itoh, Dynamics of global transport and turbulence noise force - an experimental analysis on plasma turbulence, Plasma Conference 2011 (PLASMA2011) , 2011.11.
543. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, Ar/N2マグネトロンスパッタによる低抵抗ZnO:Al膜の作製, Plasma Conference 2011 (PLASMA2011) , 2011.11.
544. K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD, Plasma Conference 2011 (PLASMA2011), 2011.11.
545. 古閑一憲, 浦川達也, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝, 低温プラズマ異方性CVDを用いた微細トレンチ上面への自己組織カーボンマスク形成, Plasma Conference 2011 (PLASMA2011) , 2011.11.
546. M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trenched substrate by simultaneous plasma CVD and plasma etching, 64th Gaseous Electronics Conference , 2011.11.
547. M. Shiratani, Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, Combinatorial Si Film Deposition by Multihollow Discharge Plasma CVD, 第15回薄膜国際会議 (ICTF-15), 2011.11.
548. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素添加結晶化法による超均一・低抵抗酸化亜鉛薄膜の作製 , 第27回九州・山口プラズマ研究会(兼応用物理学会九州支部シンポジウム「プラズマ計測とその応用 ナノプロセスから環境まで」), 2011.11.
549. Y. Nagashima, S. Itoh, S. Inagaki, H. Arakawa, N. Kasuya, A. Fujisawa, K. Kamataki, T. Yamada, S. Shinohara, S.Oldenbu¨rger, M. Yagi, Y. Takase, P. H. Diamond and K. Itoh, Non-Gaussian properties of global particle and momentum fluxes driven by turbulence in a linear plasma, 53rd Annual Meeting of the American Physical Society Division of Plasma Physics, 2011.11.
550. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, M. Shiratani, Impacts of Plasma Fluctuations in Reactive Plasmas (Invited), BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
551. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
552. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
553. 鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, プラズマCVD中におけるナノ粒子成長に対するプラズマ揺らぎの効果, プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念特別シンポジウム, 2011.10.
554. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films for Quantum Dot Sensitized Solar Cells, Low Carbon Earth Summit (LCES-2011), 2011.10.
555. M. Shiratani, K. Kamataki, K. Koga, G. Uchida, Two dimensional laser light scattering to deduce size and density of nanoparticles in plasmas (Invited), Laser Aided Plasma Diagnostic conference (LAPD15), 2011.10.
556. 山田秀人、小島健太郎、鎌滝晋礼、徳田誠、藤原智子、淵田吉男, 文系学生の科学リテラシー育成を目的とした自然科学実験教材の検討と教育実践, 第60回九州地区大学一般教育研究協議会, 2011.10.
557. 鎌滝晋礼, 理系日本人学生の実験授業における日本語と英語のハイブリッド授業の試み, 第60回九州地区大学一般教育研究協議会, 2011.10.
558. 白谷正治, 鎌滝晋礼, 西山雄士, 古閑一憲, 内田儀一郎, 板垣奈穂, 高周波放電のAM変調によるナノ粒子サイズ分布の制御, 平成23年度(第64回)電気関係学会九州支部連合大会, 2011.09.
559. M. Shiratani, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Deposition of quantum dot thin films using Si nanoparticles with surface nitridation (Invited), The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
560. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Effects of Si nano-particle incorporation on properties of microcrystalline silicon thin films studied by multi-hollow discharge CVD, The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
561. 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるナノダストの基板へのフラックスの基板バイアスによる制御, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
562. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, エピタキシャル酸化亜鉛薄膜作製における窒素添加効果, 第5回プラズマエレクトロニクスインキュベーションホール, 2011.09.
563. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Spatial distribution of resistivity of ZnO:Al thin films fabricated on solid-phase crystallized seed layers, European Material Research Society 2011 Fall Meeting (E-MRS), 2011.09.
564. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Frontier science of interactions between plasmas and nano‐interfaces (Plenary), (ICPAT2011)4th International Conference on Advanced Plasma Technologies, 2011.09.
565. 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ZnO:Al薄膜の抵抗率の面内均一性に対する固相結晶化シード層の効果, 第72回応用物理学会学術講演会, 2011.09.
566. 佐藤宗治, 王玉亭, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 窒化Siナノ粒子含有薄膜の光導電率の波長依存性, 第72回応用物理学会学術講演会, 2011.09.
567. 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, 水素プラズマとグラファイトの相互作用により発生したカーボンナノ粒子の基板バイアス電圧印加による配置制御, 第72回応用物理学会学術講演会, 2011.08.
568. K. Kamataki , H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasmas, the XXX International Conference on Phenomena in Ionized Gases(ICPIG) 2012 Conference, 2011.08.
569. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G.u Uchida, K. Koga, M. Shiratani, Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD, The 20th International Symposium on Plasma Chemistry (ISPC20), 2011.07.
570. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control towards Ultimate Plasma Nanotechnologies (Keynote), 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
571. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of high quality ZnO films via nitrogen-mediated crystallization, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
572. Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of nanoparticle incorporation on crystalline orientation of microcrystalline silicon films prepared by multi-hollow plasma discharge CVD, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
573. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
574. K. Nishiyama, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Nanoparticle formation due to interactions between H2 plasmas and graphite, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
575. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of solid-phase crystallization temperature on properties of epitaxial ZnO films, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
576. G. Uchida, M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films and its Application to Quantum dot Sensitized Solar Cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
577. K. Koga, G. Uchida, M. Sato, Y. Wang, K. Nakahara, K. Kamataki, N. Itagaki, M. Shiratani, Effects of surface treatment on performance of Si nano-particle quantum dot solar cells, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
578. T. Matsunaga, Yeon Won Kim, K. Koga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process Window Evaluation of Microcrystalline Silicon Films with and without Incorporating Clusters using Multi-hollow Discharge Plasma CVD, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
579. 松永剛明, 金淵元, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD による微結晶シリコン製膜プロセスウィンドウのガス圧力依存性, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
580. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Transport control of carbon nanoparticles in plasmas by biasing wall potential for plasma nano-factories, European Materials Research Society 2011 Spring Meeting , 2011.05.
581. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, and M. Shiratani, High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers, European Materials Research Society 2011 Spring Meeting , 2011.05.
582. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of highly conducting ZnO:Al films utilizing solid-phase crystallized seed layer, European Materials Research Society 2011 Spring Meeting , 2011.05.
583. 佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄, 量子ドット増感太陽電池の電流密度-電圧特性の膜堆積による影響, 第58回応用物理学関係連合講演会, 2011.03.
584. 宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, 振幅変調パルス放電を用いてトレンチ基板上に堆積させたナノ粒子の付着形状, 第58回応用物理学関係連合講演会, 2011.03.
585. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, マルチホロー放電プラズマCVD 法を用いたラジカルフラックス評価, 第58回応用物理学関係連合講演会, 2011.03.
586. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電による表面窒化Siナノ粒子含有薄膜のコンビナトリアル成膜, 第58回応用物理学関係連合講演会, 2011.03.
587. 金淵元, 松永剛明, 川嶋勇毅, 山下大輔, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, Combinatorial study on deposition profiles of silicon thin films deposited using high gas pressure multi-hollow discharge plasma CVD, 第58回応用物理学関係連合講演会, 2011.03.
588. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマ中のナノ粒子成長に対する気相揺らぎの効果, 第58回応用物理学関係連合講演会, 2011.03.
589. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, 水素プラズマとグラファイトの相互作用により発生したナノダストの基板へのフラックスの基板バイアス電圧依存性, 第58回応用物理学関係連合講演会, 2011.03.
590. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 固相結晶化シード層を用いた酸化亜鉛系透明導電膜の作製, 第58回応用物理学関係連合講演会, 2011.03.
591. 内田儀一郎, 宮田大嗣, 鎌滝晋礼, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治, DM-DMOS微粒子プラズマ中におけるAr準安定粒子密度の時間変動, 第58回応用物理学関係連合講演会, 2011.03.
592. 古閑一憲, 野村卓也, 浦川達也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝, Deposition of carbon films on top surface of fine trenches at 100℃ using a plasma anisotropic CVD method, 第58回応用物理学関係連合講演会, 2011.03.
593. M. Shiratani, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, Ultra Low Resistive NM Thick AZO Films Deposited by Magnetron Sputtering Using Solid Phase Crystallization, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
594. T. Matsunaga, Y. Kim, Y. Kawashima, K. Koga, D. Yamashita, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process window of microcrystalline silicon films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
595. Y. Kim, T. Matsunaga, Y. Kawashima, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of substrate temperature on properties of μc-Si:H films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
596. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films of a low defect density using SiH4+PH3 multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
597. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Combinatorial deposition of surface nitridated Si nano-particle composite films by double multi-hollow discharges, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
598. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical and electrical properties of nitridated Si nano-particle composite thin films deposited by double multi-hollow discharges CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
599. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated silicon nano-particles by double multi-hollow discharge CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
600. Y. Kim, T. Matsunaga, Y. Kawashima, M. Sato, K. Nakahara, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of substrate temperature on microcrystalline silicon thin films deposited using plasma enhanced CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
601. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD for pin solar cell, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
602. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Deposition of ?c-Si films using plasma CVD under high gas pressure conditions, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
603. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Deposition of Si nano-particle quantum dot thin film by multi-hollow discharge and their application to the third generation hotovoltaics, 2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ), 2011.03.
604. K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani, Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics (Invited), International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011), 2011.02.
605. 白谷正治, 宮田大嗣, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, LHD第一壁へのダストフラックスに対する壁電位の効果 , 核融合科学研究所一般共同研究成果報告会(NIFS), 2011.01.
606. K. Koga, T. Matsunaga, Y. Kawashima, Y. Kim, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Radical flux evaluation of high pressure silane plasma CVD using multi-hollow discharges (Invited), The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
607. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Property evaluation of silicon films deposited using high gas pressure multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
608. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani , Photoluminescence measurement of nitridated Si particles generated by double multi-hollow discharge PECVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
609. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical bandgap energy of B-doped a-Si:H films depositedby SiH4+B10H14multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
610. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Low resistivity AZO films fabricated on solid phase crystallized ZnO buffer layer: solid phase crystallization temperature dependence, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
611. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated Si particle composite films by double multi-hollow plasma CVD method, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
612. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G.u Uchida, N. Itagaki, K. Koga, M. Shiratani, Flux measurements of carbon dust particles towards biased substrates in H2 helicon discharge plasmas, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
613. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Electrical characteristics of Si nanoparticles/ruthenium dye hybrid sensitized solar cells, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
614. H. Miyata, S. Iwashita, K. Nishiyama, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of SiOx-CH3 nano-particles on trench substrates using pulse RF discharges, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
615. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Combinatorial study on deposition profiles of silicon thin films deposited using multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
616. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Microcrystalline silicon films deposited by using multi-hollow discharge plasma CVD under high pressure depletion conditions, 第20回日本MRS学術シンポジウム, 2010.12.
617. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and the application to solar cell, 第20回日本MRS学術シンポジウム, 2010.12.
618. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate of SiH4+PH3 multi-hollow plasma CVD a-Si:H films, 第20回日本MRS学術シンポジウム, 2010.12.
619. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Investigation of Interaction between of Growth of Nano Particles and Plasma Fluctuations in Plasma CVD, 第20回日本MRS学術シンポジウム, 2010.12.
620. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 鎌滝晋礼, 近藤道雄, 白谷正治, マルチホロー放電プラズマCVD を用いた第三世代太陽電池用結晶シリコンナノ粒子のサイズ制御, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
621. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 水素プラズマとグラファイトとの相互作用により発生したダストのフラックスの壁電位依存性, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
622. 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 振幅変調パルス放電を用いた微細パターン基板へのナノ粒子の堆積, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
623. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電プラズマを用いた表面窒化Siナノ粒子含有薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
624. 佐藤宗治, 山本康介, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電によるN2/SiH4プラズマの独立制御, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
625. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, スパッタリング法を用いた新規固相結晶化法によるエピタキシャル酸化亜鉛薄膜の作製, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
626. 金淵元, 松永剛明, 川嶋勇毅, 中原賢太, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, Substrate temperature dependence of microcrystalline silicon deposition by multi-hollow discharge plasma CVD, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
627. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, プラズマCVD中のナノ粒子成長に対するプラズマ揺動の影響, プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会, 2010.12.
628. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, A novel control method of nano-strucutre of oxide films using sputtering deposition (Keynote Speech), The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) , 2010.12.
629. 古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 微細パターン基板へのSiOx-CH3ナノ粒子堆積, 第27回プラズマ・核融合学会年会, 2010.12.
630. 古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 芦川直子, 増﨑貴, 西村清彦, 相良明男, LHD実験グループ, プラズマ-カーボン壁相互作用で発生したカーボン微粒子の基板へのフラックス評価, 第27回プラズマ・核融合学会年会, 2010.11.
631. 西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 微細トレンチ基板へのナノ粒子の堆積, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
632. 桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素原子を介した酸化亜鉛薄膜の固相結晶化におけるアニール温度依存性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
633. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 高ガス圧力条件における微結晶シリコン薄膜の作製, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
634. 山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治, ダブルマルチホロー放電を用いた表面窒化シリコン粒子の生成, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
635. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄, シリコンナノ粒子とRu色素を用いた増感太陽電池の電流密度-電圧特性, 平成22年度応用物理学会九州支部学術講演会, 2010.11.
636. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon nanoparticles and the application to solar cell (Invited), The 1st Korean-Japan Symposium on Surface Technology, 2010.11.
637. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control for Plasma Nanotechnologies (Keynote Speech), International technical conference of IEEE Region 10, 2010.11.
638. G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, Dsaisuke Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge, International technical conference of IEEE Region 10, 2010.11.
639. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasmas, International technical conference of IEEE Region 10, 2010.11.
640. Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Novel solar cells using Si nanoparticles, The 4th International Student Workshop on Electrical Engineering, 2010.11.
641. T. Matsunaga, Y. Kawashima, K. Koga, M. Sato, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of microcrystalline silicon filmas deposited by using multi-hollow discharge plasma CVD, The 4th International Student Workshop on Electrical Engineering, 2010.11.
642. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-free p-type a Si:H films deposited using SiH4 + B10H14 multi-hollow discharges, The 4th International Student Workshop on Electrical Engineering, 2010.11.
643. M. Shiratani, H. Miyata, K. Nishiyama, S. Iwashita, D.Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, In-situ collection of dust particles produced due to interaction between helicon discharge plasmasand graphite on substrates with bias voltage, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
644. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particle in SiH4/H2 and N2 multi-hollow discharges, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
645. M. Shiratani, K. Koga, G.u Uchida, N. Itagaki, K. Kamataki, Manipulation of Nano-objects Uusing Plasmas for a Plasma Nano-factory (Invited), The 11th Asia Pacific Physics Conference (APPC11), 2010.11, 新しいボトムアッププロセスとしてナノ粒子の操作をプラズマを用いて行う新概念の提案とその実験的検証に関する報告を行った。.
646. G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
647. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles, 2010 International Symposium on Dry Process Program (DPS), 2010.11.
648. K. Koga, H. Miyata, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD Experimental Group, Deposition of Nanoparticles using Substrate Bias Voltage, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
649. 古閑一憲, 北﨑訓, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, プラズマ-細胞相互作用による細胞活性制御, 第26回九州・山口プラズマ研究会, 2010.11.
650. 板垣奈穂, 桑原和成, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, アモルファス相からの固相結晶化による酸化亜鉛薄膜の作製, 第26回九州・山口プラズマ研究会, 2010.11.
651. 内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 窒化シリコン微粒子の生成と太陽電池への応用, 第26回九州・山口プラズマ研究会, 2010.11.
652. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H.Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Production of nitridated silicon particles for quantum dot solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
653. K. Koga, K. Nakahara, T. Matsunaga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, High speed deposition of highly stable a-Si:H films using pure silane multi-hollow discharges, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
654. H. Miyata, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Effects of H2 plasma irradiation to TiO2 on quantum dot/dye-sensitized solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
655. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Carrier generation in Si quantum dots-sensitized solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
656. K. Koga, G. Uchida, Y. Kawashima, M. Sato, K. Yamamoto, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, M. Shiratani, Si quantum dot-sensitized solar cells using Si nanoparticles produced by multi-hollow discharge, 3rd International Symposium on Innovative Solar Cells, 2010.10.
657. G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
658. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of a-ZnON films by Ar/N2 sputtering for solid-phase crystallization of ZnO, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
659. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
660. T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
661. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group, Carbon dust particles generated due to H2 plasma-carbon wall interaction, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
662. 小林達哉, 稲垣滋, 荒川弘之, 鎌滝晋礼, 佐々木真, 永島芳彦, 山田琢磨, 矢木雅敏, 糟谷直宏, 藤澤彰英, 伊藤早苗, 伊藤公孝, LMD-Uにおける揺動の非線形結合の磁場強度依存性(25pQA-3), 2010年日本物理学会秋季大会, 2010.09.
663. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣菜穂, 白谷正治, 高ガス圧力条件における微結晶シリコン薄膜の結晶化率分布, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
664. 山本康介, 川嶋勇毅, 佐藤宗治, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ダブルマルチホロー放電プラズマを用いた表面窒化シリコン粒子の生成, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
665. 中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, SiH4+ B10H14マルチホロー放電プラズマCVD法によるBドープa-Si:Hの製膜, 第4回プラズマエレクトロニクスインキュベーションホール, 2010.09.
666. 板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 窒素原子を介した固相結晶化法による酸化亜鉛薄膜の作製, 第71回応用物理学会学術講演会, 2010.09.
667. 内田儀一郎, 佐藤宗治, 川嶋勇毅, 中原賢太, 山本康介, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ダブルマルチホロー放電によるシリコン粒子の表面窒化, 第71回応用物理学会学術講演会, 2010.09.
668. 松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 高ガス圧力微結晶シリコン製膜条件における結晶化率2次元分布, 第71回応用物理学会学術講演会, 2010.09.
669. 宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, 水素プラズマとグラファイトの相互作用で発生したダストの壁へのフラックスに対する壁電位の影響, 第71回応用物理学会学術講演会, 2010.09.
670. 鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治, 反応性プラズマ中のナノ粒子成長に対する電力摂動の効果, 第71回応用物理学会学術講演会, 2010.09.
671. 川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 松崎秀文, 内田儀一郎, 近藤道雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, ナノ粒子増感太陽電池の光電流の照射光強度依存性, 第71回応用物理学会学術講演会, 2010.09.
672. 内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, シリコンナノ微粒子の生成と太陽電池への応用, 平成22年度東北大学電気通信研究所共同プロジェクト研究会「微粒子プラズマの応用に関する基礎的研究」, 2010.08.
673. 鎌滝晋礼, 基礎プラズマと反応性プラズマにおけるプラズマ揺動制御に関する研究 (招待講演), 第37回西日本放電懇談会, 2010.08.
674. M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Generation of Si particles and their nitridation using double multihollow discharges, The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
675. K. Kamataki, Plasma Fluctuations in Pristine and Reactive Plasmas (Invited), The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
676. K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Comparison between Si thin films with and without incorporating nanoparticles into the film, 10th Asia Pacific Conference on Plasma Science and Technology (APCPST), 2010.07.
677. 松永剛明, 川嶋勇毅, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, マルチホロー放電プラズマCVD法を用いた 微結晶シリコン薄膜の作製と膜質評価, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
678. 佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, ナノ粒子含有シリコン薄膜の光学特性, 九州表面・真空研究会2010(兼第15回九州薄膜表面研究会), 2010.06.
679. K. Kamataki, Plasma Fluctuation, 2010 International Workshop on Plasma Application, 2010.06.
680. 稲垣滋、下田雅人、荒川弘之、鎌滝晋礼、永島芳彦、山田琢磨、藤澤彰英、糟谷直宏、矢木雅敏、伊藤公孝、伊藤早苗, LMD-Uにおける揺動駆動輸送の統計的性質, 日本物理学会 2010年第65回年次大会, 2010.03.
681. 荒川弘之、稲垣滋、永島芳彦、山田琢磨、鎌滝晋礼、杉田暁、矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおける揺動位相速度遷移のダイナミックス, 日本物理学会 2010年第65回年次大会, 2010.03.
682. 小林達哉, 稲垣滋, 荒川弘之, 鎌滝晋礼, 永島芳彦, 山田琢磨, 矢木雅敏, 糟谷直宏, 藤澤彰英, 伊藤公孝, 伊藤早苗, LMD-Uにおける密度及びポテンシャル揺動の非線形結合の解析, 日本物理学会 2010年第65回年次大会, 2010.03.
683. 小林達哉, 稲垣滋, 荒川弘之, 鎌滝晋礼, 永島芳彦, 山田琢磨, 矢木雅敏, 糟谷直宏, 藤澤彰英, 伊藤公孝, 伊藤早苗, 低中性粒子圧円筒プラズマにおける密度およびポテンシャル揺動のバイスペクトル解析, プラズマ核融合学会 九州・山口・沖縄支部第13回支部大会, 2009.12.
684. H. Arakawa, S. Inagaki, Y. Nagashima, T. Yamada, K. Kamataki, S. Sugita, M. Yagi, N. Kasuya, A. Fujisawa, K. Itoh, S. Itoh, Probability distribution function of density fluctuation in cylindrical helicon plasmas, 19th International Toki Conference (ITC19), 2009.12.
685. T. Yamada, S. Itoh, S. Inagaki, Y. Nagashima, S. Shinohara, N. Kasuya, K. Terasaka, K. Kamataki, H. Arakawa, M. Yagi, A. Fujisawa and K. Itoh, Nonlinear mode couplings in a cylindrical magnetized plasma (Invited), 19th International Toki Conference (ITC19), 2009.12.
686. 荒川弘之、稲垣滋、永島芳彦、山田琢磨、鎌滝晋礼、杉田暁、矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおける揺動位相速度変化の観測, プラズマ核融合学会 九州・山口・沖縄支部第13回支部大会, 2009.12.
687. 下田雅人、稲垣滋, 鎌滝晋礼, 荒川弘之、永島芳彦, 山田琢磨, 矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおける径方向粒子束揺動の統計的性質, プラズマ核融合学会 九州・山口・沖縄支部第13回支部大会, 2009.12.
688. 下田雅人、稲垣滋, 鎌滝晋礼, 荒川弘之、永島芳彦, 山田琢磨, 矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおける径方向粒子束の統計的性質, プラズマ・核融合学会 第26回年会, 2009.12.
689. T. Kobayashi, S. Inagaki, H. Arakawa, K. Kamataki, Y. Nagashima, T. Yamada, M. Yagi, N. Kasuya, A. Fujisawa, K. Itoh, S. Itoh, Bi-spectral analysis of density and potential fluctuations in high neutral density cylindrical plasma, 19th International Toki Conference (ITC19), 2009.12.
690. 鎌滝晋礼、伊藤早苗、永島芳彦、稲垣滋, 篠原俊二郎、矢木雅敏、山田琢磨、河合良信、藤澤彰英、伊藤公孝, LMD-UにおけるECRH重畳ヘリコンプラズマにおける揺動スペクトルの変化, プラズマ核融合学会 九州・山口・沖縄支部第13回支部大会, 2009.12.
691. K. Kamataki, K. Itoh, S. Itoh, A. Fujisawa, S. Inagaki, Y. Nagashima, M. Yagi, T. Yamada, ECRH Superposition on Linear Cylindrical Helicon Plasma in LMD-U, 19th International Toki Conference (ITC19), 2009.12.
692. H. Arakawa, S. Inagaki, K. Kamataki, M. Yagi, S. Sugita, S. Itoh, Y. Nagashima, T. Yamada, N. Kasuya, A. Fujisawa, K. Itoh, Temporal sequences in the evolution of fluctuations on LMD-U, 51th Annual Meeting of the Division of Plasma Physics (APS Meeting), 2009.11.
693. 荒川弘之、稲垣滋、永島芳彦、山田琢磨、鎌滝晋礼、杉田暁、矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおけるプラズマ乱流の構造相図, 日本物理学会 2009年秋季大会, 2009.09.
694. 荒川弘之、鎌滝晋礼、稲垣滋、丸田貴、永島芳彦、山田琢磨、篠原俊二郎、寺坂健一郎、杉田暁、矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおけるスペクトル遷移ダイナミックスの観測, 日本物理学会 2009年第64回年次大会, 2009.09.
695. 鎌滝晋礼、伊藤早苗、永島芳彦、稲垣滋, 篠原俊二郎、矢木雅敏、山田琢磨、河合良信、藤澤彰英、伊藤公孝, 直線円筒形ECRプラズマにおけるゾーナルフロー型振動の観測, 日本物理学会 2009年第64回年次大会, 2009.03.
696. 荒川弘之、鎌滝晋礼、稲垣滋、丸田貴、永島芳彦、山田琢磨、篠原俊二郎、寺坂健一郎、杉田暁、矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおける密度揺動スペクトル遷移現象の観測, プラズマ核融合学会 九州・山口・沖縄支部第12回支部大会, 2008.12.
697. 鎌滝晋礼、伊藤早苗、永島芳彦、稲垣滋, 篠原俊二郎、矢木雅敏、山田琢磨、河合良信、藤澤彰英、伊藤公孝, 直線ECRプラズマにおける帯状流型振動, プラズマ核融合学会 九州・山口・沖縄支部第12回支部大会, 2008.12.
698. Y. Nagashima, S. Itoh, K. Itoh, A. Fujisawa, S. Inagaki, Y. Kawai, S. Shinohara, M. Fukao, T. Yamada, K. Terasaka, T. Maruta, K. Kamataki, H. Arakawa, M. Yagi, N. Kasuya, G. R. Tynan, P. H. Diamond, Y. Takase, Reynolds Stress Measurements for Investigation of Nonlinear Processes of Turbulence in the Large Mirror Device and in the Large Mirror Device-Upgrade, 14th International Congress on Plasma Physics (ICPP2008), 2008.09.
699. T. Yamada, S. Itoh, S. Inagaki, Y. Nagashima, S. Shinohara, T. Maruta, K. Terasaka, K. Kamataki, N. Kasuya, M. Yagi, Y. Kawai, A. Fujisawa, K. Itoh, Measurement of Nonlinear Mode Couplings in the Large Mirror Device-Upgrade, 14th International Congress on Plasma Physics (ICPP2008), 2008.09.
700. 荒川弘之、鎌滝晋礼、稲垣滋、丸田貴、永島芳彦、山田琢磨、篠原俊二郎、寺坂健一郎、杉田暁、矢木雅敏、糟谷直宏、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおけるアルゴンプラズマにおける乱流遷移の観測, 日本物理学会 2008年秋季大会, 2008.09.
701. S. Inagaki, T. Yamada, Y. Nagashima, K.Kamataki, N. Kasuya, S. Shinohara, K. Terasaka, M. Yagi, A. Fujisawa, K. Itoh and S. Itoh, Density and Potential Fluctuation Structures in LMD-U, 14th International Congress on Plasma Physics (ICPP2008), 2008.09.
702. K. Kamataki, S. Itoh, Y. Nagashima, S. Inagaki, S. Shinohara, M. Yagi, T. Yamada, Y. Kawai, A. Fujisawa, K. Itoh, Dynamics of Drift and Flute Modes in Linear Cylindrical ECR Plasma, 14th International Congress on Plasma Physics (ICPP2008), 2008.09.
703. H. Arakawa, K. Kamataki, S. Inagaki, T. Maruta, Y. Nagashima, T. Yamada, S. Shinohara, K. Terasaka, S. Sugita, M. Yagi, N. Kasuya, A. Fujisawa, K. Itoh, S. Itoh, Measurement of plasma turbulence structures with a two-dimensional movable probe in LMD-U, 2nd ITER International Summer School (IISS2008) In conjunction with the 47th Summer School of JSPF for Young Plasma Scientists, 2008.07.
704. K. Kamataki, S. Itoh, Y. Nagashima, S. Inagaki, S. Shinohara, M. Yagi, T. Yamada, Y. Kawai, A. Fujisawa, K. Itoh, Investigation of Competitive Oscillations between Drift Mode and Flute Mode in Linear Cylindrical ECR Plasma, 2nd ITER International Summer School (IISS2008) In conjunction with the 47th Summer School of JSPF for Young Plasma Scientists, 2008.07.
705. S. Inagaki, T. Maruta, H. Arakawa, T. Yamada, Y. Nagashima, K.Kamataki, N. Kasuya, S. Shinohara, K. Terasaka, M. Yagi, Y. Kawai, A. Fujisawa, K. Itoh and S. Itoh, Two-Dimensional Spatial Structure of Plasma Turbulence in LMD-U, 35th European Physical Society (EPS) Conferences on Plasma Physics, 2008.06.
706. Y. Nagashima, S. Itoh, S. Shinohara, M. Fukao, A. Fujisawa, K. Terasaka, T. Nishijima, M. Kawaguchi, Y. Kawai, N. Kasuya, G.R. Tynan, P.H. Diamond, M. Yagi, S. Inagaki, T. Yamada, K. Kamataki, T. Maruta, Coexistence of the drift wave spectrum and low-frequency zonal flow potential in cylindrical laboratory plasmas, 35th European Physical Society (EPS) Conferences on Plasma Physics, 2008.06.
707. 永島芳彦, 伊藤早苗, 篠原俊二郎, 深尾正之, 藤澤彰英, 河合良信, 西島武志, 寺坂健一郎, 川口真人, G.R. Tynan, P.H. Diamond, 矢木雅敏, 稲垣滋, 山田琢磨E, 丸田貴, 鎌滝晋礼, 伊藤公孝, 直線プラズマにおける帯状流とドリフト波乱流の共存, 日本物理学会 2008年第63回年次大会, 2008.03.
708. 山田琢磨, 伊藤早苗, 稲垣滋, 永島芳彦, 丸田貴, 糟谷直宏, 鎌滝晋礼, 篠原俊二郎, 寺坂健一郎, 矢木雅敏, 河合良信, 藤澤彰英, 伊藤公孝, 直線プラズマにおけるメゾスケール構造の観測, 日本物理学会 2008年第63回年次大会, 2008.03.
709. 稲垣滋, 丸田貴, 永島芳彦, 山田琢磨, 篠原俊二郎, 寺坂健一郎, 川口真人, 鎌滝晋礼, 矢木雅敏, 河合良信, 伊藤公孝, 伊藤早苗, 直線プラズマにおける2時刻2点相関法による乱流の2次元構造, 日本物理学会 2008年第63回年次大会, 2008.03.
710. 永島芳彦, 伊藤早苗, 篠原俊二郎, 深尾正之, 藤澤彰英, 河合良信, 西島武志, 寺坂健一郎, 川口真人, G.R. Tynan, P.H. Diamond, 矢木雅敏, 稲垣滋, 山田琢磨, 丸田貴, 鎌滝晋礼, 伊藤公孝, 円柱磁化プラズマにおけるドリフト波と帯状流の共存, 第11回若手科学者によるプラズマ研究会, 2008.03.
711. 鎌滝晋礼、伊藤早苗、永島芳彦、稲垣滋, 篠原俊二郎、矢木雅敏、山田琢磨、河合良信、藤澤彰英、伊藤公孝, 直線ECRプラズマ装置におけるドリフトモードとフルートモードの競合的関係, 第11回若手科学者によるプラズマ研究会, 2008.03.
712. 鎌滝晋礼、伊藤早苗、永島芳彦、稲垣滋, 篠原俊二郎、矢木雅敏、山田琢磨、河合良信、藤澤彰英、伊藤公孝, 直線ECRプラズマにおけるドリフト不安定性とフルート不安定性の競合的振る舞い・Ⅱ, 日本物理学会 2008年第63回年次大会, 2008.03.
713. 鎌滝晋礼、伊藤早苗、永島芳彦、稲垣滋, 篠原俊二郎、矢木雅敏、山田琢磨、河合良信、藤澤彰英、伊藤公孝, 直線ECRプラズマにおけるドリフトモードとフルートモードの周期的競合, プラズマ核融合学会 九州・山口・沖縄支部第11回支部大会, 2007.12.
714. 永島芳彦, 藤澤彰英, 稲垣滋, 深尾正之, 河合良信, 山田琢磨, 鎌滝晋礼, 丸田貴, 篠原俊二郎, 伊藤公孝, 伊藤早苗, 多チャンネルReynolds応力プローブ, プラズマ・核融合学会 第24回年会, 2007.11.
715. 丸田貴、稲垣滋、永島芳彦、山田琢磨、篠原俊二郎、寺坂健一郎、川口真人、鎌滝晋礼、矢木雅敏、河合良信、藤澤彰英、伊藤公孝、伊藤早苗, LMD-Uにおける二次元駆動プローブによる乱流構造計測, プラズマ・核融合学会 第24回年会, 2007.11.
716. 稲垣滋、永島芳彦、深尾正之、山田琢磨、丸田貴、鎌滝晋礼、矢木雅敏、河合良信、藤沢彰英、伊藤公孝、伊藤早苗, LMD-Uにおけるプローブによるイオン温度及び温度揺動計測, プラズマ・核融合学会 第24回年会, 2007.11.
717. Y. Nagashima, S. Itoh, S. Shinohara, K. Terasaka, Y. Kawai, M. Yagi, S. Inagaki, T. Yamada, T. Maruta, K. Kamataki, M. Fukao, A. Fujisawa, K. Itoh, G.R. Tynan, P.H. Diamond, Identification of the parametric-modulational instability of the drift wave-zonal flow system in a cylindrical magnetized plasma, 49th Annual Meeting of the Division of Plasma Physics(APS Meeting), 2007.10.
718. 山田琢磨、伊藤公孝、丸田貴、糟谷直宏、篠原俊二郎、永島芳彦、矢木雅敏、川口真人、寺坂健一郎、稲垣滋、鎌滝晋礼、河合良信、藤沢彰英、伊藤早苗, 直線プラズマにおけるドリフト波乱流:実験と理論の比較 Drift wave turbulence in linear plasma: comparison between experiment and theory, 日本物理学会 2007年年次大会, 2007.09.
719. 稲垣滋、伊藤早苗、山田琢磨、丸田貴、永島芳彦、篠原俊二郎、寺坂健一郎、川口真人、鎌滝晋礼、矢木雅敏、糟谷直宏、河合良信、藤沢彰英、伊藤公孝, 直線プラズマにおけるドリフト波乱流:時空間構造の観測, 日本物理学会 2007年年次大会, 2007.09.
720. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、矢木雅敏、伊藤公孝、伊藤早苗, 直線ECRプラズマにおけるドリフト不安定性とフルート不安定性の競合的振る舞い, 日本物理学会 2007年年次大会, 2007.09.
721. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、矢木雅敏、伊藤公孝、伊藤早苗, 直線ECRプラズマにおける衝突性ドリフト波不安定性とフルート波不安定性の共存現象, 日本物理学会 2007年春季大会, 2007.03.
722. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、矢木雅敏、伊藤公孝、伊藤早苗, 境界のある直線ECRプラズマにおける衝突性ドリフト波不安定性とフルート不安定性の共存, プラズマ核融合学会 九州・山口・沖縄支部第10回支部大会, 2006.12.
723. K. Kamataki, Y. Nagashima, S. Shinohara, Y. Kawai, M. Yagi, K. Itoh, S. Itoh, Collisional Drift and Flute Wave Instabilities in Bounded Linear ECR Plasma – Identification and Stabilization, The Sixth International Workshop on Nonlinear Waves and Turbulence in Space Plasmas (NLW-6), 2006.10.
724. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、矢木雅敏、伊藤公孝、伊藤早苗, 直線ECRプラズマ中のドリフト波励起実験Ⅲ, 日本物理学会 2006年秋季大会, 2006.09.
725. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、矢木雅敏、伊藤公孝、伊藤早苗, 直線ECRプラズマ中のドリフト波励起実験, RIAMフォーラム 2006, 2006.06.
726. K. Kamataki, Y. Nagashima, S. Shinohara, Y. Kawai, M. Yagi, K. Itoh, S. Itoh, Observation of the Drift Wave Instability in Bounded ECR Plasma, 33rd European Physical Society (EPS) Conferences on Plasma Physics, 2006.06.
727. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、矢木雅敏、伊藤公孝、伊藤早苗, 直線ECRプラズマ中のドリフト波励起実験Ⅱ, 日本物理学会 第61回年次大会, 2006.03.
728. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、矢木雅敏、伊藤公孝、伊藤早苗, 境界のある直線ECRプラズマにおける衝突性ドリフト波不安定性, プラズマ核融合学会 九州・山口・沖縄支部第9回支部大会, 2005.12.
729. K. Kamataki, Y. Nagashima, S. Shinohara, Y. Kawai, M. Yagi, K. Itoh, S. Itoh, The Excitation of Drift Wave Instability in Bounded ECR plasma, The 7th Cross Straits Symposium on Materials, Energy, and Environmental Sciences (CSS), 2005.12.
730. K. Kamataki, Y. Nagashima, S. Shinohara, Y. Kawai, K. Itoh, S. Itoh, Experiment on Drift Wave Excitation in Linear ECR Plasma, 47th Annual Meeting of the Division of Plasma Physics(APS Meeting), 2005.10.
731. 鎌滝晋礼、永島芳彦、篠原俊二郎、河合良信、伊藤公孝、伊藤早苗, 直線ECRプラズマ中のドリフト波励起実験I, 日本物理学会 2005年秋季大会, 2005.09.
732. H. Tuchiya, K. Kamataki, M. Koga, S. Shinohara, Y. Kawai, Suppression of the fluctuation by multipole magnetic field in ECR plasma, 27th International Conference on Phenomena in Ionized Gases(ICPIG), 2005.07.

九大関連コンテンツ

pure2017年10月2日から、「九州大学研究者情報」を補完するデータベースとして、Elsevier社の「Pure」による研究業績の公開を開始しました。