Updated on 2024/10/01

Information

 

写真a

 
KONDO HIROKI
 
Organization
Faculty of Information Science and Electrical Engineering Department of Electronics Professor
Center of Plasma Nono-interface Engineering (Concurrent)
School of Engineering Department of Electrical Engineering and Computer Science(Concurrent)
Graduate School of Information Science and Electrical Engineering Department of Electrical and Electronic Engineering(Concurrent)
Title
Professor
Contact information
メールアドレス
Tel
0928023628
Profile
岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」(2023年6月22日) 岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」(2024年7月25日)

Degree

  • Ph. D

Research History

  • Nagoya University Center for Low-temperature Plasma Sciences (cLPS) Associate Professor

    2019.4 - 2023.10

      More details

  • Associate Professor Associate Professor

    2011.10 - 2023.10

      More details

    Country:Japan

    researchmap

  • Nagoya University Center for Low-temperature Plasma Sciences (cLPS) Guest professor

    2024.1 - Present

      More details

  • Nagoya University Graduate School of Engineering Department of Crystalline Materials Science Assistant

    2007.3

      More details

  • 富士通株式会社・富士通研究所

    富士通株式会社・富士通研究所

  • Nagoya University

▼display all

Research Interests・Research Keywords

  • Research theme:Reliability physics in nanosheet electronics - Device degradation mechanism and lifetime prediction from the perspective of atoms and molecules -

    Keyword:Nanoelectronics, atomic force microscope, scanning probe microscope, hard X-ray photoelectron spectroscopy, operando analysis

    Research period: 1999.4

  • Research theme:Research and development of advanced nano-plasma process technology contributing to next-generation nanoelectronics

    Keyword:Nano-electronics, plasma processes, atomic layer deposition, atomic layer etching, high-k/metal gate stacks

    Research period: 1993.4

Papers

  • <i>In situ</i> atom-resolved observation of Si (111) 7x7 surface with F radical and Ar ion irradiation simulated atomic layer etching

    Tsutsumi, T; Asano, A; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   42 ( 3 )   2024.5   ISSN:0734-2101 eISSN:1520-8559

     More details

    Publisher:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    Ar ions with controlled energy and doses, along with radicals in CF4 plasma, were irradiated separately onto a Si (111) 7 × 7 surface by using a plasma beam reactor. The crystal structure and the chemical bonds on the surface were analyzed by scanning tunneling microscopy, atomic force microscopy, and x-ray photoelectron spectroscopy without air exposure of the samples. The SiF layer formed by F radical irradiation was completely removed by a desorption reaction induced by heating at 600 °C, resulting in an atomically flat surface that maintained the crystal structure. The 7 × 7 structure, which was reconstructed by each irradiation process alone, was not reconstructed because of the synergistic effects of F radicals and Ar ions in the cyclic CF4/Ar plasma used to simulate an atomic layer etching process. The cyclic CF4/Ar plasma process inhibited the reconstruction of the crystal structure by forming a mixture region that included Si, F, and C atoms.

    DOI: 10.1116/6.0003432

    Web of Science

    Scopus

  • Hydrogen peroxide sensing with nitrogen-doped carbon nanowalls Reviewed International journal

    Rakhymzhan Ye. Zhumadilov, Yerassyl Yerlanuly, Hiroki Kondo, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Sensing and Bio-Sensing Research   43   100614   2024.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/odi.14827

  • Deposition of carbon-based materials directly on copper foil and nickel foam as 2D and 3D-networked metal substrates by in-liquid plasma Reviewed International journal

    Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    Plasma Processes and Polymers   20 ( 11 )   2300036   2023.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202300036

  • Cancer-specific cytotoxicity of Ringer’s acetate solution irradiated by cold atmospheric pressure plasma Invited Reviewed International journal

    57 ( 1 )   91 - 104   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1080/10715762.2023.2201390

  • Creation of unique shapes by coordination of alumina nanopores and carbon nanowalls Reviewed International journal

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Ye. Alpysbayeva, Rakhymzhan Zhumadilov, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Fullerenes Nanotubes and Carbon Nanostructures   31 ( 4 )   295 - 301   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1080/1536383X.2022.2146672

  • Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds Reviewed International journal

    Koki Ono, Takashi Koide, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeno Yasuhara, Masaru Hori, Wakana Takeuchi

    Japanese Journal of Applied Physics   62 ( SA )   SA1017   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac9319

  • Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka, Masaru Hori

    Nanomaterials   13 ( 1 )   63 - 63   2022.12   eISSN:2079-4991

     More details

    Language:Others   Publishing type:Research paper (scientific journal)   Publisher:MDPI AG  

    Surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) is performed using carbon nanowalls (CNWs) for ionization-assisting substrates. The CNWs (referred to as high-quality CNWs) in the present study were grown using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with the addition of oxygen in a mixture of CH4 and H2 gases. High-quality CNWs were different with respect to crystallinity and C–OH groups, while showing similar wall-to-wall distances and a wettability comparable to CNWs (referred to as normal CNWs) grown without O2. The efficiency of SALDI was tested with both parameters of ion intensity and fragmental efficiency (survival yield (SY)) using N-benzylpyridinuim chloride (N-BP-CI). At a laser fluence of 4 mJ/cm2, normal CNWs had an SY of 0.97 and an ion intensity of 0.13, while 5-sccm-O2– high-quality CNWs had an SY of 0.89 and an ion intensity of 2.55. As a result, the sensitivity for the detection of low-molecular-weight analytes was improved with the high-quality CNWs compared to the normal CNWs, while an SY of 0.89 was maintained at a low laser fluence of 4 mJ/cm2. SALDI-MS measurements available with the high-quality CNWs ionization-assisting substrate provided high ionization and SY values.

    DOI: 10.3390/nano13010063

    researchmap

  • Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis Reviewed International journal

    Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Vacuum   205   111351   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2022.111351

  • Power Generation Characteristics of Polymer Electrolyte Fuel Cells Using Carbon Nanowalls as Catalyst Support Material

    Takayuki Ohta, Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    C   8 ( 3 )   44 - 44   2022.8   eISSN:2311-5629

     More details

    Language:Others   Publishing type:Research paper (scientific journal)   Publisher:MDPI AG  

    We evaluated the power generation characteristics of a polymer electrolyte fuel cell (PEFC) composed of Pt-supported carbon nanowalls (CNWs) and a microporous layer (MPL) of carbon black on carbon paper (CP) as catalyst support materials. CNWs, standing vertically on highly crystallizing graphene sheets, were synthesized on an MPL/CP by plasma-enhanced chemical vapor deposition (PECVD) using inductively coupled plasma (ICP). Pt nanoparticles were supported on the CNW surface using the liquid-phase reduction method. The three types of voltage loss, namely those due to activated polarization, resistance polarization, and diffusion polarization, are discussed for the power generation characteristics of the PEFC using the Pt/CNWs/MPL/CP. The relationship between the height or gap area of the CNWs and the voltage loss of the PEFC is demonstrated, whereby the CNW height increased with the extension of growth time. The three-phase interface area increased with the increase in the CNW height, resulting in mitigation of the loss due to activated polarization. The gap area of the CNWs varied when changing the CH4/H2 gas ratio. The loss due to diffusion polarization was reduced by enlarging the gap area, due to the increased diffusion of fuel gas and discharge of water. The secondary growth of the CNWs caused the three-phase interface area to decrease as a result of platinum aggregation, impedance of the supply of ionomer dispersion solution to the bottom of the CNWs, and inhibition of fuel gas and water diffusion, which led to the loss of activated and diffuse polarizations. The voltage losses can be mitigated by increasing the height of CNWs while avoiding secondary growth.

    DOI: 10.3390/c8030044

    researchmap

  • In-liquid plasma synthesis of iron–nitrogen-doped carbon nanoflakes with high catalytic activity Reviewed International journal

    Hiroki Kondo, Ryo Hamaji, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Plasma Processes and Polymers   19 ( 8 )   2100203   2022.4   ISSN:1612-8850 eISSN:1612-8869

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Flake-shaped carbon nanomaterials with nitrogen (N) and iron (Fe) addition, so-called carbon nanoflakes (CNFLs), were synthesized by the in-liquid plasma using two different kinds of additive agents, such as hemin and iron (II) phthalocyanine (FePc). According to scanning electron microscopy images and Raman spectra, CNFLs with a size of at least 100 nm order were formed regardless of types of additive agents, and crystallinity of six-membered ring structures was improved as additive agents increased. Photoelectron spectra showed that pyridinic N contents increased from 1.05% to 2.02% with increasing FePc, while those decreased from 0.34% to 0.14% with hemin. In the oxygen reduction reaction, onset potential values also increased from 0.71 to 0.79 eV with increasing FePc, while those decreased from 0.60 to 0.47 eV with hemin. These results suggested that the catalytic activity of CNFLs was effectively improved by the increase of pyridinic N by the in-liquid plasma synthesis with FePc. In contrast, the electron transfer numbers reached 3.81 when hemin increased, although those were less than 2.88 in the cases using FePc. These results mean that the in-liquid plasma synthesis method of CNFLs using FePc has the potential to further improve its catalytic activity.

    DOI: 10.1002/ppap.202100203

    Scopus

    researchmap

  • Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)

    Sakai Ryusei, Ichikawa Tomonori, Kondo Hiroki, Ishikawa Kenji, Shimizu Naohiro, Ohta Takayuki, Hiramatsu Mineo, Hori Masaru

    NANOMATERIALS   11 ( 2 )   1 - 11   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)
    Carbon nanowalls (CNWs), which are vertically oriented multi-layer graphene sheets, were employed in surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) measurements to detect low-molecular-weight organic compounds. CNWs substrates with widely different wall-to-wall distances from 142 to 467 nm were synthesized using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with nanosecond pulse biasing to a sample stage. When survival yield (SY) values of N-benzylpyridinium chloride (N-BP-Cl) were examined, which is commonly used to evaluate desorption/ionization efficiency, a narrower wall-to-wall distance presented a higher SY value. The highest SY value of 0.97 was realized at 4 mJ/cm(2) for the highest-density CNWs with a wall-to-wall distance of 142 nm. The laser desorption/ionization effect of arginine, an amino acid, was also investigated. When CNWs with a narrower wall-to-wall distance were used, the signal-to-noise (SN) ratios of the arginine signals were increased, while the intensity ratios of fragment ions to arginine signals were suppressed. Therefore, the CNWs nanostructures are a powerful tool when used as a SALDI substrate for the highly efficient desorption/ionization of low-molecular-weight biomolecules.

    DOI: 10.3390/nano11020262

  • Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature

    Sugiura, H., Kondo, H., Higuchi, K., Arai, S., Hamaji, R., Tsutsumi, T., Ishikawa, K., Hori, M.

    Carbon   170   93 - 99   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2020.07.052

  • Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures

    Kim J

    IEEJ Transactions on Electrical and Electronic Engineering   15 ( 9 )   1281 - 1287   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures
    Using a microwave-excited plasma source based on a microstrip line, we have generated a nitrogen plasma jet at a moderate pressure in the range from 1 to 10 kPa. The densities of nitrogen (N) atoms produced by the plasma jet were measured with a vacuum ultraviolet absorption spectroscopy. The results show that the plasma jet is able to provide a high density of N atoms at least 4.5 x 10(14) cm(-3)at 1.5 kPa. The N atom densities vary widely with the change in gas flow rate and substrate placement. We expect that this plasma source will provide a high performance as an advanced N radical source in various applications. (c) 2020 Institute of Electrical Engineers of Japan. Published by Wiley Periodicals LLC.

    DOI: 10.1002/tee.23194

  • Synthesis of carbon nanowalls on the surface of nanoporous alumina membranes by RI-PECVD method

    Yerlanuly, Y., Christy, D., Van Nong, N., Kondo, H., Alpysbayeva, B., Nemkayeva, R., Kadyr, M., Ramazanov, T., Gabdullin, M., Batryshev, D., Hori, M.

    Applied Surface Science   523   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.146533

  • In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ions and Cl radicals

    Hasegawa, M., Tsutsumi, T., Tanide, A., Nakamura, S., Kondo, H., Ishikawa, K., Sekine, M., Hori, M.

    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films   38 ( 4 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0000124

  • In-plane modification of hexagonal boron nitride particles via plasma in solution

    Tsuyohito Ito, Taku Goto, Kenichi Inoue, Kenji Ishikawa, Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta, Kazuo Terashima

    Applied Physics Express   13 ( 6 )   2020.6

     More details

    Language:Others   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ab916c

  • Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp(2) carbon structures

    Sugiura Hirotsugu, Ohashi Yasuyuki, Ishikawa Kenji, Kondo Hiroki, Kato Toshiaki, Kaneko Toshiro, Takeda Keigo, Tsutsumi Takayoshi, Hayashi Toshio, Sekine Makoto, Hori Masaru

    DIAMOND AND RELATED MATERIALS   104   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures
    Hydrogenated amorphous carbon (a-C:H) films comprise nanoclustering graphites (nc-G), fused aromatic rings (nR), and olefinic chain clusters (nC) of sp(2)-bonded carbons in an sp a matrix. In this study, the sp(2) composition of the nc-G, nR and nC in a-C:H films is found to be determined by the ion bombardment energy flux (Gamma(Ei)), which can be estimated as the product of ion bombardment energy and ion flux onto the deposited surface, in plasma-enhanced chemical vapor deposition using a plasma mixture of H-2 and CH4 gases with the H radical injection method. The sp(2) composition is analyzed using Raman spectroscopy and near-edge X-ray absorption structure spectroscopy. a-C:H becomes increasingly graphitized with increasing Gamma(Ei). The precise control of the sp(2) C structure composition can be achieved by controlling the very-high-frequency input power and radio frequency input bias power via the ion flux and ion bombardment energy.

    DOI: 10.1016/j.diamond.2019.107651

  • In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol Reviewed

    Atsushi Ando, Kenji Ishikawa, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, Masaru Hori

    ChemNanoMat   6 ( 4 )   604 - 609   2020.4

     More details

    Language:Others   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/cnma.201900676

  • N-Graphene Nanowalls via Plasma Nitrogen Incorporation and Substitution: The Experimental Evidence

    M. Santhosh, N., Filipi?, G., Kovacevic, E., Jagodar, A., Berndt, J., Strunskus, T., Kondo, H., Hori, M., Tatarova, E., Cvelbar, U.

    Nano-Micro Letters   12 ( 1 )   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s40820-020-0395-5

  • Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study

    Fukunaga, Y., Longo, R.C., Ventzek, P.L.G., Lane, B., Ranjan, A., Hwang, G.S., Hartmann, G., Tsutsumi, T., Ishikawa, K., Kondo, H., Sekine, M., Hori, M.

    Journal of Applied Physics   127 ( 2 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5127863

  • Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate

    Isobe, Y., Sakai, T., Suguro, K., Miyashita, N., Kondo, H., Ishikawa, K., Wilson, A.F., Shimizu, N., Oda, O., Sekine, M., Hori, M.

    Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics   37 ( 6 )   2019.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5114831

  • Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions Reviewed International journal

    Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    SCIENTIFIC REPORTS   9 ( 1 )   13657 - 13657   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions
    Non-thermal atmospheric pressure plasma has been widely used for preclinical studies in areas such as wound healing, blood coagulation, and cancer therapy. We previously developed plasma-activated medium (PAM) and plasma-activated Ringer's lactate solutions (PAL) for cancer treatments. Many in vitro and in vivo experiments demonstrated that both PAM and PAL exhibit anti-tumor effects in several types of cancer cells such as ovarian, gastric, and pancreatic cancer cells as well as glioblastoma cells. However, interestingly, PAM induces more intracellular reactive oxygen species in glioblastoma cells than PAL. To investigate the differences in intracellular molecular mechanisms of the effects of PAM and PAL in glioblastoma cells, we measured gene expression levels of antioxidant genes such as CAT, SOD2, and GPX1. Microarray and quantitative real-time PCR analyses revealed that PAM elevated stress-inducible genes that induce apoptosis such as GADD45α signaling molecules. PAL suppressed genes downstream of the survival and proliferation signaling network such as YAP/TEAD signaling molecules. These data reveal that PAM and PAL induce apoptosis in glioblastoma cells by different intracellular molecular mechanisms.

    DOI: 10.1038/s41598-019-50136-w

  • Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) Reviewed

    Takayuki Ohta, Hironori Ito, Kenji Ishikawa, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    Special Issue "Plasma Processing for Carbon-based Materials   5 ( 3 )   2019.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS)
    Carbon nanowalls (CNWs), vertically standing highly crystallizing graphene sheets, were used in the application of a surface-assisted laser desorption/ionization time-of-flight mass spectrometry (SALDI-TOF-MS). The CNW substrates solved the issues on interferences of matrix molecules and alkali metal addition ions in low-weight molecule detection. Before SALDI sample preparations, the hydrophobic CNW was treated by atmospheric pressure plasma for exposing hydrophilicity to the CNWs' surface. Detection of water soluble amino acids, arginine, was demonstrated.

    DOI: 10.3390/c5030040

  • Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation Reviewed

    Ichikawa, T., Kondo, H., Ishikawa, K., Tsutsumi, T., Tanaka, H., Sekine, M., Hori, M.

    ACS Applied Bio Materials   2 ( 7 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsabm.9b00178

  • Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy Reviewed

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Kenji Ishikawa, Hiromasa Tanaka, Masaru Hori

    Applied Physics Express   12 ( 6 )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy
    The effects of plasma-activated Ringer's lactate solution (PAL) on supported lipid bilayers (SLBs) as a cell membrane model were investigated. PAL has selective killing effects on cancer cells. High-speed atomic force microscopy revealed alterations in the morphological dynamics of SLBs in PAL. SLB islands decreased approximately 20-fold faster in the presence of PAL compared to Ringer's lactate solution without plasma treatment. The effect of plasma treatment on the Ringer's lactate solution produced PAL components that account for the observed effects on cell membranes, such as removal of lipid molecules from the edges of SLBs and the following SLBs shrinkage. (C) 2019 The Japan Society of Applied Physics

    DOI: 10.7567/1882-0786/ab1a58

  • A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome

    Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   13 ( 2 )   470-479 - 479   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome

    DOI: 10.1109/TBCAS.2018.2882472

  • Facile synthesis of SnO <inf>2</inf> -graphene composites employing nonthermal plasma and SnO <inf>2</inf> nanoparticles-dispersed ethanol

    Borude, R.R., Sugiura, H., Ishikawa, K., Tsutsumi, T., Kondo, H., Hori, M.

    Journal of Physics D: Applied Physics   15 ( 17 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab03c4

  • Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition Reviewed

    Isobe, Y., Sakai, T., Sugiyama, N., Mizushima, I., Suguro, K., Miyashita, N., Lu, Y., Wilson, A.F., Kumar, D.A., Ikarashi, N., Kondo, H., Ishikawa, K., Shimizu, N., Oda, O., Sekine, M., Hori, M.

    Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics   37 ( 3 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5083970

  • Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 ( 10 )   105503 - 105503   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    DOI: 10.1088/1361-6463/aaf8e0

  • Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   58 ( 3 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition
    Amorphous carbon (a-C) thin films were deposited under the control of C-2 radical density using radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) with CH4/H-2 plasma. By actinometric monitoring of C-2 emission intensities, the plasma parameters were precisely controlled by changing in CH4-containing plasma excitation power independent of H-2 plasma excitation. The control of the incorporation of sp2-C clusters in the a-C films during the a-C film depositions is demonstrated by tailoring Raman positions vs. full widths at half maxima for the G band around 1580 cm(-1) to the RI-PECVD parameters. (C) 2019 The Japan Society of Applied Physics

    DOI: 10.7567/1347-4065/aafd49

  • Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries Reviewed

    Borude, R.R., Sugiura, H., Ishikawa, K., Tsutsumi, T., Kondo, H., Ikarashi, N., Hori, M.

    ACS Applied Nano Materials   2 ( 2 )   649-654   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsanm.8b02201

  • Real-time control of a wafer temperature for uniform plasma process

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing (ISSM)   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Real-time control of a wafer temperature for uniform plasma process

    DOI: 10.1109/ISSM.2018.8651183

  • Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system

    Fukunaga, Y., Tsutsumi, T., Kondo, H., Ishikawa, K., Sekine, M., Hori, M.

    Japanese Journal of Applied Physics   58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaf92a

  • Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds Reviewed

    Ichikawa, T., Tanaka, S., Kondo, H., Ishikawa, K., Tsutsumi, T., Sekine, M., Hori, M.

    Applied Physics Express   12 ( 2 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf469

  • Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas Reviewed

    Zhang, Y., Ishikawa, K., Mozeti?, M., Tsutsumi, T., Kondo, H., Sekine, M., Hori, M.

    Plasma Processes and Polymers   16 ( 6 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201800175

  • Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma Reviewed

    Borude, R.R., Sugiura, H., Ishikawa, K., Tsutsumi, T., Kondo, H., Han, J.G., Hori, M.

    Japanese Journal of Applied Physics   58 ( SA )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaec87

  • Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Masakazu Tomatsu, Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Journal of Carbon Research   5 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition

    DOI: 10.3390/c5010007

  • Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Hirotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    C-Journal of Carbon Research   5 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition

    DOI: 10.3390/c5010008

  • Liquid dynamics in response to an impinging low-temperature plasma jet Reviewed

    Timothy R. Brubaker, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, Masaru Hori

    Journal of Physics D: Applied Physics   52 ( 7 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Liquid dynamics in response to an impinging low-temperature plasma jet

    DOI: 10.1088/1361-6463/aaf460

  • Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition Reviewed

    Shun Imai, Hiroki Kondo, Cho Hyungjun, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Applied Physics Express   12 ( 1 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition
    The electrochemical durability of Pt nanoparticles-supported carbon nanowalls (Pt/CNWs) determined from potential cycle tests was 88&#37; performance after 20 000 cycles and 50&#37; performance around 140 000 cycles when the CNWs were fabricated by the C2F6/H-2 plasma-enhanced chemical vapor deposition system (C2F6-CNWs). Even after the extended start/stop-simulation tests of fuel cell Pt/C2F6-CNWs, Pt was aggregated; however, the morphological structure of the CNWs was maintained and no corrosion was evident from scanning electron microscopy observations and Raman analysis. For graphene-based catalyst supports, i.e., the Pt/C2F6-CNWs, graphene crystallinity is essential to extend electrochemical durability by inhibiting corrosion during fuel cell operation. (C) 2018 The Japan Society of Applied Physics

    DOI: 10.7567/1882-0786/aaf0ab

  • Effect of N<inf>2</inf>/H<inf>2</inf> plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) Reviewed

    Amalraj, F.W., Dhasiyan, A.K., Lu, Y., Shimizu, N., Oda, O., Ishikawa, K., Kondo, H., Sekine, M., Ikarashi, N., Hori, M.

    AIP Advances   8 ( 11 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5050819

  • Oriented carbon nanostructures by Plasma processing: Recent advances and future challenges

    Santhosh, N.M., Filipi?, G., Tatarova, E., Baranov, O., Kondo, H., Sekine, M., Hori, M., (Ken) Ostrikov, K., Cvelbar, U.

    Micromachines   9 ( 11 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/mi9110565

  • Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)   2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water

    DOI: 10.1109/PLASMA.2017.8496057

  • Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching Reviewed

    Zhang, Y., Imamura, M., Ishikawa, K., Tsutsumi, T., Kondo, H., Sekine, M., Hori, M.

    Japanese Journal of Applied Physics   57 ( 10 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.106502

  • Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H2 at 300-500°C Reviewed

    156   219-223   2018.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2018.07.040

  • Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   57 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.026201

  • Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol Reviewed

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   11 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.015102

  • Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy Reviewed

    Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   122 ( 21 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4999256

  • Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium Reviewed

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   14 ( 11 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700123

  • Surface roughening of photoresist after change of the photon/radical and ion treatment sequence Reviewed

    Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   35 ( 6 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4994218

  • High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 ( 40 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa8131

  • Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy Reviewed

    Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    BIOINTERPHASES   12 ( 3 )   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4997170

  • Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation Reviewed

    Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   10 ( 8 )   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.10.086502

  • Temperature dependence of protection layer formation on organic trench sidewall in H-2/N-2 plasma etching with control of substrate temperature Reviewed

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 ( 7 )   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.076202

  • Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 degrees C Reviewed

    Shinnosuke Takai, Yi Lu, Osamu Oda, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 ( 6 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HE08

  • Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy Reviewed

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   19 ( 21 )   13438 - 13442   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/c7cp00489c

  • A CONCRETE APPROACH TO DIAGONAL SHORT TIME ASYMPTOTICS OF HEAT KERNELS ASSOCIATED WITH SUB-LAPLACIAN ON CR MANIFOLDS Reviewed

    Hiroki Kondo

    KYUSHU JOURNAL OF MATHEMATICS   71 ( 1 )   65 - 84   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.2206/kyushujm.71.65

  • Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas Reviewed

    Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa60f7

  • Protein Nanoparticle Formation Using a Circularly Permuted alpha-Helix Rich Trimeric Protein Reviewed

    Norifumi Kawakami, Hiroki Kondo, Masayuki Muramatsu, Kenji Miyamoto

    BIOCONJUGATE CHEMISTRY   28 ( 2 )   336 - 340   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acs.bioconjchem.6b00735

  • Absolute density of precursor SiH3 radicals and H atoms in H-2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films Reviewed

    Yusuke Abe, Kenji Ishikawa, Keigo Takeda, Takayoshi Tsutsumi, Atsushi Fukushima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   110 ( 4 )   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4974821

  • Atomic layer etching of SiO2 by alternating an O-2 plasma with fluorocarbon film deposition Reviewed

    Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   35 ( 1 )   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4971171

  • Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma Reviewed

    Takumi Ito, Kenji Ishikawa, Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    IEEE TRANSACTIONS ON PLASMA SCIENCE   44 ( 12 )   3060 - 3065   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2016.2571721

  • Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   13 ( 7 )   730 - 736   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201500229

  • Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition Reviewed

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 4 )   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.040305

  • Advanced Plasma Science and Its Applications for Nitride and Nanomaterials FOREWORD Reviewed

    Akihiro Wakahara, Osamu Nakatsuka, Minoru Sasaki, Kazuo Terashima, Hiroshi Amano, Takashi Egawa, Yasufumi Fujiwara, Mineo Hiramatsu, Ryoichi Ichino, Yasushi Inoue, Masafumi Ito, Makoto Kasu, Hiroki Kondo, Seiichi Miyazaki, Kazuaki Sawada, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, Hirofumi Takikawa, Yoshimi Watanabe

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 1 )   2016.1

     More details

    Language:English  

    DOI: 10.7567/JJAP.55.01A001

  • Real-time temperature monitoring of Si substrate during plasma processing and its heat-flux analysis Reviewed

    Takayoshi Tsutsumi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 1 )   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AB04

  • Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching Reviewed

    Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING   28 ( 4 )   515 - 520   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TSM.2015.2470554

  • Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based Direct Counting of Bacterial and HeLa Cells Reviewed

    Kiichi Niitsu, Shoko Ota, Kohei Gamo, Hiroki Kondo, Masaru Hori, Kazuo Nakazato

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   9 ( 5 )   607 - 619   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TBCAS.2015.2479656

  • High sensitivity of a carbon nanowall-based sensor for detection of organic vapours Reviewed

    RSC Advances   5 ( 110 )   90515 - 90520   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/c5ra12000d

  • Suppression of plasma-induced damage on GaN etched by a Cl-2 plasma at high temperatures Reviewed

    Zecheng Liu, Jialin Pan, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 6 )   2015.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GB04

  • CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase Reviewed

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 4 )   2015.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040301

  • Silicon nitride etching performance of CH2F2 plasma diluted with argon or krypton Reviewed

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 4 )   2015.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040303

  • Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions Reviewed

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   48 ( 4 )   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/48/4/045202

  • Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry Reviewed

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, Masafumi Ito

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 1 )   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AB03

  • Carbon nanowall scaffold to control culturing of cervical cancer cells Reviewed

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    APPLIED PHYSICS LETTERS   105 ( 24 )   2014.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4902054

  • Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control Reviewed

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   47 ( 42 )   2014.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/47/42/422002

  • Temporal changes in absolute atom densities in H-2 and N-2 mixture gas plasmas by surface modifications of reactor wall Reviewed

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Honi

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 5 )   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.050301

  • Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls Reviewed

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Maseru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 4 )   040307   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040307

  • Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O-2 non-equilibrium atmospheric-pressure plasma jet

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA SOURCES SCIENCE & TECHNOLOGY   23 ( 2 )   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/23/2/025004

  • Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution Reviewed

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 4 )   040305:1-4   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040305

  • Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls Reviewed

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   7 ( 4 )   046201   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.7.046201

  • Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N-2/H-2 excited plasma - effect of TMG flow rate and VHF power Reviewed

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JOURNAL OF CRYSTAL GROWTH   391   97 - 103   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  • Density control of carbon nanowalls grown by CH4/H-2 plasma and their electrical properties Reviewed

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hirarnatsu, Masaru Hori

    CARBON   68   380 - 388   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2013.11.014

  • Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C Reviewed

    53 ( 1 )   010305:1-4   2014.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010305

  • Effect of indirect nonequilibrium atmospheric pressure plasma on anti-proliferative activity against chronic chemo-resistant ovarian cancer cells in vitro and in vivo

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, Fumitaka Kikkawa

    PLoS ONE   8 ( 12 )   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1371/journal.pone.0081576

  • Field Emissions from Organic Nanorods Armored with Metal Nanoparticles

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 12 )   1-4   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.120203

  • High H radical density produced by 1-m-long atmospheric pressure microwave plasma system

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, Masaru Hori

    Japanese Journal of Applied Physics   52 ( 11 )   2013.11

     More details

    Language:English   Publishing type:Research paper (other academic)  

    DOI: 10.7567/JJAP.52.11NE01

  • Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, Masafumi Ito

    APPLIED PHYSICS LETTERS   103 ( 18 )   2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4827426

  • Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   6 ( 9 )   2013.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.095201

  • Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    Hitoshi Watanabe, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Shailesh Kumar, Kostya Ostrikov, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   10 ( 7 )   582 - 592   2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201200141

  • Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability Reviewed

    Hitoshi Watanabe, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Shailesh Kumar, Kostya Ostrikov, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   10 ( 7 )   582 - 592   2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201200141

  • Low-Temperature Single-Walled Carbon Nanotube Growth from Pt Catalyst Using Alcohol Gas Source Method in High Vacuum Reviewed

    Hiroki Kondo, Naoya Fukuoka, Ranajit Ghosh, Shigeya Naritsuka, Takahiro Maruyama, Sumio Iijima

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 6 )   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.06GD02

  • Surface morphology on high-temperature plasma-etched gallium nitride

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Trans. Mater. Res. Soc. Jpn. 38 (2)   38 ( 2 )   325-328 - 328   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Surface morphology on high-temperature plasma-etched gallium nitride
    We report the surface morphology of gallium nitride (GaN) films during the argon and nitrogen plasma etching at elevated temperatures up to 800°C. For Ar plasma at high substrate temperatures above 600°C, Ar+ ion bombardment dissociates Ga-N bonds by the preferential removal of nitrogen, which promotes roughness of the GaN surface by the aggregation of gallium atoms. In N2 plasma exposure, the N/Ga remains stoichiometric with higher values above 0.85, and the surface is not significantly roughened, even higher at 600°C. Therefore, the aggregation of metallic Ga induces surface roughening during ion-enhanced etching of GaN with elevated substrate temperatures.

    DOI: 10.14723/tmrsj.38.325

  • A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   6 ( 5 )   1-4   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.056201

  • Wavelength Dependence of Photon-Induced Interface Defects in Hydrogenated Silicon Nitride/Si Structure during Plasma Etching Processes Reviewed

    Masanaga Fukasawa, Hiroyasu Matsugai, Takahiro Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 5 )   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.05ED01

  • Supercritical Fluid Deposition of High-Density Nanoparticles of Photocatalytic TiO2 on Carbon Nanowalls

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS EXPRESS   6 ( 4 )   1-4   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.045103

  • Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma Reviewed

    Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   46 ( 10 )   pp. 102001:1-5   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/10/102001

  • Characterization of Nb hydrides synthesized in high-pressure supercritical water by micro-beam hard X-ray photoelectron spectroscopy Reviewed

    Kazuo Soda, Hiroki Kondo, Masahiko Kato, Tatsuhito Shiraki, Ken Niwa, Keiji Kusaba, Masashi Hasegawa, Eiji Ikenaga

    Journal of Electron Spectroscopy and Related Phenomena   186 ( 1 )   54 - 57   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.elspec.2013.02.006

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma Reviewed

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   pp.020204:1-4   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.020204

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma Reviewed

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   pp.020204:1-4   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.020204

  • Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy Reviewed

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.021001

  • Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   pp.021001-1:5   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.021001

  • Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   P.014306:1-6   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4772996

  • Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma Reviewed

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4773104

  • Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4773104

  • Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AK05

  • Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells Reviewed

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 3 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4778608

  • Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 3 )   pp.033304:1-6   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4778608

  • Highly selective etching of SiO2 over Si3N 4 and Si in capacitively coupled plasma employing C 5HF7 gas Reviewed

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Atsuyo Yamazaki, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    Japanese Journal of Applied Physics   52 ( 1 )   1-9   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.016201

  • Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Mineo Hiramatsu, Masateru Naito, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AK04

  • Fabrication of Carbon Nanowalls on Carbon Fiber Paper for Fuel Cell Application Reviewed

    Mineo Hiramatsu, Shinji Mitsuguchi, Takeyoshi Horibe, Hiroki Kondo, Masaru Hori, Hiroyuki Kano

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AK03

  • Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment Reviewed

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4772996

  • Silicon full wafer bonding with atomic layer deposited titanium dioxide and aluminum oxide intermediate films

    R. L. Puurunen, T. Suni, O. M.E. Ylivaara, H. Kondo, M. Ammar, T. Ishida, H. Fujita, A. Bosseboeuf, S. Zaima, H. Kattelus

    Sensors and Actuators, A: Physical   188   268 - 276   2012.12

     More details

    Language:English   Publishing type:Research paper (other academic)  

    DOI: 10.1016/j.sna.2012.05.006

  • Individual Roles of Atoms and Ions during Hydrogen Plasma Passivation of Surface Defects on GaN Created by Plasma Etching Reviewed

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 11 )   111002-1:5   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.111002

  • Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells Reviewed

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   101 ( 17 )   pp.172109-1:4   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4764065

  • Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas Reviewed

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   101 ( 1 )   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4733387

  • Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas Reviewed

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   101 ( 1 )   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4733387

  • Genetic Characterization of Human Influenza Viruses in the Pandemic (2009-2010) and Post-Pandemic (2010-2011) Periods in Japan Reviewed

    Isolde C. Dapat, Clyde Dapat, Tatiana Baranovich, Yasushi Suzuki, Hiroki Kondo, Yugo Shobugawa, Reiko Saito, Hiroshi Suzuki

    PLOS ONE   7 ( 6 )   2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1371/journal.pone.0036455

  • Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature Reviewed

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, Masaru Hori

    AIP ADVANCES   2 ( 2 )   2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4729448

  • Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, Masaru Hori

    AIP ADVANCES   2 ( 2 )   pp.022149-1:6   2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4729448

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiromasa Tanaka, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    APPLIED PHYSICS LETTERS   100 ( 11 )   10.1063/1.3694928   2012.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3694928

  • Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma Reviewed

    Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   5 ( 3 )   pp. 035101-1:3   2012.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.5.035101

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma Reviewed

    Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiromasa Tanaka, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    APPLIED PHYSICS LETTERS   100 ( 11 )   2012.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3694928

  • Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation Reviewed

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 2 )   2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.026201

  • Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation Reviewed

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 2 )   2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.026201

  • Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments Reviewed

    Hitoshi Watanabe, Hiroki Kondo, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AJ07

  • Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature Reviewed

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.016202

  • Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC Reviewed

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   45 ( 2 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/45/2/025203

  • Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments Reviewed

    Hitoshi Watanabe, Hiroki Kondo, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AJ07

  • Chemical bond modification in porous SiOCH films by H-2 and H-2/N-2 plasmas investigated by in situ infrared reflection absorption spectroscopy Reviewed

    Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   110 ( 12 )   2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3671547

  • Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls Reviewed

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, Mineo Hiramatsu

    APPLIED PHYSICS LETTERS   99 ( 21 )   2011.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3659470

  • Control of Interfacial Properties of Al2O3/Ge Gate Stack Structure Using Radical Nitridation Technique Reviewed

    Kimihiko Kato, Shinya Kyogoku, Mitsuo Sakashita, Wakana Takeuchi, Hiroki Kondo, Shotaro Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 10 )   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.10PE02

  • Impacts of CF+, CF

    Takeuchi Takuya, Amasaki Shinpei, Kondo Hiroki, Ishikawa Kenji, Toyoda Hirotaka, Sekine Makoto, Kang Song-Yun, Sawada Ikuo, Hori Masaru

    Jpn J Appl Phys   50 ( 8 )   08JE05 - 08JE05-5   2011.8

     More details

    Language:English  

    Impacts of CF+, CF
    Photoresists used in advanced ArF-excimer laser lithography are not tolerant enough for plasma etching processes. Degradation of photoresists during etching processes might cause not only low selectivity, but also line edge roughness (LER) on the sidewalls of etched patterns. For a highly accurate processing, it is necessary to understand the mechanisms of etching photoresists and to construct a new plasma chemistry that realizes a nano scale precise pattern definition. In this study, the modified layers formed on the surface of a photoresist by the bombardment of fluorocarbon ions of CF+, CF2+, and CF3+, and argon (Ar) ions were analyzed by X-ray photoelectron spectroscopy (XPS). The etching yield of the modified steady-state surface was almost dependent on the mass of incident ion species. The surface composition was modified with increasing dosage of each ion species, and reached a specific steady state that was dependent on the ion species. The bombardment of F-rich ion species such as CF2+ and CF3+ resulted in the formation of not only fluorocarbon layers, but also graphite like structures on the surface. On the basis of these results, the surface reaction for the ion-beam-induced modification was discussed.

    DOI: 10.1143/JJAP.50.08JE05

  • Impacts of CF+, CF2+, CF3+, and Ar Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist Reviewed

    Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 8 )   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JE05

  • Reactive Ion Etching of Carbon Nanowalls Reviewed

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki, Hiroyuki Kano, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 7 )   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.075101

  • Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen Reviewed

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   60 ( 1 )   70 - 74   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2011.01.029

  • Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study Reviewed

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICAL CHEMISTRY LETTERS   2 ( 11 )   1278 - 1281   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jz2002937

  • Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study Reviewed

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICAL CHEMISTRY LETTERS   2 ( 11 )   1278 - 1281   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jz2002937

  • Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen Reviewed

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   60 ( 1 )   70 - 74   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2011.01.029

  • Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition Reviewed

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano

    APPLIED PHYSICS LETTERS   98 ( 19 )   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3583672

  • Spatial Distributions of Electron, CF, and CF2 Radical Densities and Gas Temperature in DC-Superposed Dual-Frequency Capacitively Coupled Plasma Etch Reactor Employing Cyclic-C4F8/N-2/Ar Gas Reviewed

    Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 5 )   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.056101

  • Radical-controlled plasma processing for nanofabrication Reviewed

    Masaru Hori, Hiroki Kondo, Mineo Hiramatsu

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   44 ( 17 )   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/44/17/174027

  • Radical-controlled plasma processing for nanofabrication Reviewed

    Masaru Hori, Hiroki Kondo, Mineo Hiramatsu

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   44 ( 17 )   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/44/17/174027

  • Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition Reviewed

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano

    APPLIED PHYSICS LETTERS   98 ( 19 )   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3583672

  • Analysis of Local Leakage Current of Pr-Oxide Thin Films with Conductive Atomic Force Microscopy

    Masaki Adachi, Yuzo Kato, Kimihiko Kato, Mitsuo Sakashita, Hiroki Kondo, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 4 )   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DA08

  • Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy Reviewed

    Z. H. Wu, Y. Kawai, Y. -Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, H. Amano

    APPLIED PHYSICS LETTERS   98 ( 14 )   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3574607

  • Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr Oxide/PrON/Ge Gate Stack Structure Reviewed

    Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 4 )   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DA17

  • Analysis of Local Leakage Current of Pr-Oxide Thin Films with Conductive Atomic Force Microscopy Reviewed

    Masaki Adachi, Yuzo Kato, Kimihiko Kato, Mitsuo Sakashita, Hiroki Kondo, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 4 )   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DA08

  • Electron field emission enhancement of carbon nanowalls by plasma surface nitridation

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS LETTERS   98 ( 12 )   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3532114

  • Electron field emission enhancement of carbon nanowalls by plasma surface nitridation Reviewed

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS LETTERS   98 ( 12 )   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3532114

  • Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet Reviewed

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori

    APPLIED PHYSICS EXPRESS   4 ( 2 )   026101   2011.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.026101

  • Pr(EtCp)_3を用いた原子層成長法によるPr酸化膜の作製とその電気的特性 Reviewed

    近藤 博基, 坂下 満男, 財満 鎭明

    真空   54 ( 2 )   110 - 113   2011.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    &nbsp;&nbsp;Growth properties and electrical properties of Pr oxide films by an atomic layer deposition (ALD) technique using Pr(EtCp)3 are discussed in this paper. Slef-limiting growth of Pr oxide films at a rate of 0.07 nm/cycle and a thickness variation of less than 2&#37; on 3-in. Si wafers were obtained. Polycrystalline cubic Pr2O3 films were grown on Si(001) substrates, while epitaxial growth of the cubic Pr2O3 film was found on a Si(111) substrate. Relatively fine capacitance-voltage curves were obtained for the Al/ALD-Pr oxide/Si(001) capacitors. The interface state density between the 130&deg;C-grown ALD-Pr oxide film and the Si(001) substrate is about 1&times;1011 cm&minus;2 eV&minus;1. The dielectric constant of the ALD-Pr oxide film grown at 250&deg;C was determined to be about 18, assuming that the dielectric constant of the interlayer is similar to that of SiO2.

    DOI: 10.3131/jvsj2.54.110

  • Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet Reviewed

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori

    APPLIED PHYSICS EXPRESS   4 ( 2 )   2011.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.026101

  • Al2O3界 面層およびラジカル窒化法によるHigh-k/Ge界面構造および電気的特性の制御

    加藤公彦, 京極真也, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   55-58   2011.1

     More details

    Language:Japanese  

  • 電流検出型原子間力顕微鏡を 用いた欠陥に起因するPr酸化膜のリーク電流機構の解明

    足立正樹, 加藤雄三, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   123-126   2011.1

     More details

    Language:Japanese  

  • Pr酸化膜/Si構造へのAl導入による界面反応抑制効果

    古田和也, 竹内和歌奈, 加藤公彦, 坂下満男, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   51-54   2011.1

     More details

    Language:Japanese  

  • Pr酸化膜/PrON/Ge構造におけるPrの化学結合状態が電気的特性に及ぼす影響

    加藤公彦, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   99-102   2011.1

     More details

    Language:Japanese  

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas Reviewed

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 1 )   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.01AE03

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas Reviewed

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 1 )   01AE03   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.01AE03

  • Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry Reviewed

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   49 ( 6 )   060220   2010.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.49.060220

  • Formation processes of Ge3N4 films by radical nitridation and their electrical properties Reviewed

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Shigeaki Zaima

    THIN SOLID FILMS   518 ( 6 )   S226 - S230   2010.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.10.094

  • Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor Reviewed

    Hiroki Kondo, Shinnya Sakurai, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    APPLIED PHYSICS LETTERS   96 ( 1 )   012105   2010.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3275706

  • Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units Reviewed

    Yuichi Setsuhara, Ken Cho, Kosuke Takenaka, Akinori Ebe, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    THIN SOLID FILMS   518 ( 3 )   1006 - 1011   2009.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.07.161

  • Novel method to introduce uniaxial tensile strain in Ge by microfabrication of Ge/Si1-xGex structures on Si(001) substrates Reviewed

    Takuya Mizutani, Osamu Nakatsuka, Akira Sakai, Hiroki Kondo, Masaki Ogawa, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   53 ( 11 )   1198 - 1201   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2009.08.001

  • Novel method to introduce uniaxial tensile strain in Ge by microfabrication of Ge/Si1-xGex structures on Si(001) substrates Reviewed

    Takuya Mizutani, Osamu Nakatsuka, Akira Sakai, Hiroki Kondo, Masaki Ogawa, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   53 ( 11 )   1198 - 1201   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2009.08.001

  • *Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal–Oxide–Semiconductor Capacitors Reviewed

    48 ( 5 )   05DA04   2009.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.48.05DA04

  • Nitrogen-Content Dependence of Crystalline Structures and Resistivity of Hf-Si-N Gate Electrodes for Metal-Oxide-Semiconductor Field-Effect Transistors Reviewed

    Kazuaki Miyamoto, Kouhei Furumai, Ben E. Urban, Hiroki Kondo, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   48 ( 4 )   045505   2009.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.48.045505

  • Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes Reviewed

    Hiroki Kondo, Kouhei Furumai, Mitsuo Sakashita, Akira Sakai, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   48 ( 4 )   04C012   2009.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.48.04C012

  • Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films Reviewed

    Hiroki Kondo, Tomonori Ueyama, Eiji Ikenaga, Keisuke Kobayashi, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    THIN SOLID FILMS   517 ( 1 )   297 - 299   2008.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2008.08.079

  • Silicide and germanide technology for contacts and gates in MOSFET applications Invited Reviewed

    Shigeaki Zaima, Osamu Nakatsuka, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa

    THIN SOLID FILMS   517 ( 1 )   80 - 83   2008.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2008.08.097

  • Analysis of Uniaxial Tensile Strain in Microfabricated Ge/Si1-x Gex Structures on Si(001) Substrates

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, S. Zaima

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics   2008.9

     More details

    Language:English   Publishing type:Research paper (other academic)  

    Analysis of Uniaxial Tensile Strain in Microfabricated Ge/Si1-x Gex Structures on Si(001) Substrates

  • MOCVD法によるPr酸化膜の作製およびその電気的特性評価 Invited Reviewed

    近藤博基, 櫻井晋也, 酒井朗, 小川正毅, 財満鎭明

    信学技報   108 ( 80 )   71-75   2008.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors Reviewed

    Kouhei Furumai, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   47 ( 4 )   2420 - 2424   2008.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.47.2420

  • Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors Reviewed

    Kouhei Furumai, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   47 ( 4 )   2420 - 2424   2008.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.47.2420

  • Development of high-density radical source for radical nitridation process in ULSI technology development of high-density radical source for radical nitridation process in ULSI technology Reviewed

    H. Kondo, S. Oda, S. Takashima, A. Sakai, M. Ogawa, S. Zaima, M. Hori, S. Den, H. Kano

    The International Conference on Plasma-NanoTechnology and Science   2008.3

     More details

    Language:English  

    Development of high-density radical source for radical nitridation process in ULSI technology development of high-density radical source for radical nitridation process in ULSI technology

  • Ge基板上に作製したPr酸化膜の評価 Reviewed

    坂下満男, 鬼頭伸幸, 加藤亮祐, 近藤博基, 中塚理, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)   2008.1

     More details

    Language:Japanese  

  • 窒素ラジカル暴露によるGe(001)表面処理 Reviewed

    近藤博基, 藤田美里, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)   2008.1

     More details

    Language:Japanese  

  • ミクタミクトTiSiNゲートMOSキャパシタの結晶構造及び電気的特性の評価 Reviewed

    古米孝平, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)   2008.1

     More details

    Language:Japanese  

  • Structural and Electrical Properties of Metal-germanide MOS Gate Electrodes Reviewed

    H. Kondo, D. Ikeno, Y. Kaneko, M. Sakashita, A. Sakai, M. Ogawa, S. Zaima

    The Sixth Pacific Rim Inernational Conference on Advanced Materials and Processing   2007.11

     More details

    Language:English  

    Structural and Electrical Properties of Metal-germanide MOS Gate Electrodes

  • シリコン表面の窒化初期過程とエネルギーバンドギャップの形成 Invited Reviewed

    近藤 博基, 財満 鎭明, 堀 勝, 酒井 朗, 小川 正毅

    真空   50 ( 11 )   665 - 671   2007.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    &nbsp;&nbsp;Initial stage of processes and energy bandgap formation in nitridation of silicon surfaces using nitrogen radicals have been studied. According to scanning tunneling microscopy observations and scanning tunneling spectroscopy measurements, at the initial stage of nitridation, linear defects perpendicular to dimmer rows were formed to coincide with an initial nitridation reaction preferentially at backbonds of surface Si atoms. After the nitride formation, the surface roughness depends only on substrate temperature regardless of radio frequency (RF) power, which means that the growth mode of nitrides is attributed to the surface migration. Contrary, the energy bandgap of silicon nitrides is significantly affected by not only substrate temperature but also RF power. Absorption and emission spectroscopy results suggest that the contribution of the excited-state nitrogen atoms to the nitridation increases with increasing the RF power. Control of surface migration and radical species is crucial to form the monolayer-thick nitride layer with both an atomically flat surface and a wide energy bandgap.

    DOI: 10.3131/jvsj.50.665

  • Pr-oxide-based dielectric films on Ge substrates Reviewed

    M. Sakashita, N. Kito, A. Sakai, H. Kondo, O. Nakatsuka, M. Ogawa, S. Zaima

    Extended Abstracts of the 2007 International Conference on Solid State Device and Materials   2007 ( 85 )   330 - 331   2007.9

     More details

    Language:English   Publishing type:Research paper (other academic)  

    Pr-oxide-based dielectric films on Ge substrates

  • Surface treatment of Ge(001) surface by radical nitridation Reviewed

    H. Kondo, M. Fujita, A. Sakai, M. Ogawa, S. Zaima

    Extended Abstracts of the 2007 International Conference on Solid State Device and Materials   2007.9

     More details

    Language:English   Publishing type:Research paper (other academic)  

    Surface treatment of Ge(001) surface by radical nitridation

  • Development of new high-density radical sources and its application to radical nitridation of Ge surfaces

    H. Kondo, S. Oda, S. Takashima, A. Sakai, M. Ogawa, S. Zaima, M. Hori, S. Den, H. Kano

    The 20th Symposium on Plasma Science for Materials   2007.6

     More details

    Language:English  

    Development of new high-density radical sources and its application to radical nitridation of Ge surfaces

  • Composition dependence of work function in metal (Ni,Pt)-germanide gate electrodes Reviewed

    Daisuke Ikeno, Yukihiro Kaneko, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 ( 4B )   1865 - 1869   2007.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.46.1865

  • Growth and energy bandgap formation of silicon nitride films in radical nitridation Reviewed

    Hiroki Kondo, Keigo Kawaai, Akira Sakai, Masaru Hori, Shigeaki Zaima, Yukio Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 ( 1 )   71 - 75   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.46.71

  • パルスレーザー蒸着法によるGe基板上へのPr酸化膜の作製とその構造及び電気的特性評価

    鬼頭伸幸, 坂下満男, 酒井朗, 中塚理, 近藤博基, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)   2007.1

     More details

    Language:Japanese  

  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates Reviewed

    Shogo Mochizuki, Akira Sakai, Osamu Nakatsuka, Hiroki Kondo, Katsunori Yukawa, Koji Izunome, Takeshi Senda, Eiji Toyoda, Masaki Ogawa, Shigeaki Zaima

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   22 ( 1 )   S132 - S136   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0268-1242/22/1/S31

  • Pt-germanideゲート電極の結晶構造及び電気的特性の評価”

    池野大輔, 古米孝平, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)   2007.1

     More details

    Language:Japanese  

  • Dislocation structure and strain relaxation of SiGe and Ge sub-micron stripe lines on Si(001) substrates

    O. Nakatsuka, S. Mochizuki, A. Sakai, H. Kondo, K. Yukawa, M. Ogawa, S. Zaima

    2006.10

     More details

    Language:English  

    Dislocation structure and strain relaxation of SiGe and Ge sub-micron stripe lines on Si(001) substrates

  • Interfacial structure of HfON/SiN/Si gate stacks

    O. Nakatsuka, M. Sakashita, H. Kondo, E. Ikenaga, M. Kobata, J.-J. Kim, H. Nohira, T. Hattori, A. Sakai, M. Ogawa, S. Zaima

    The 2nd International Workshop on Hard X-ray Photoelectron Spectroscopy   2006.9

     More details

    Language:English  

    Interfacial structure of HfON/SiN/Si gate stacks

  • Systematic characterization of Ni full silicide in sub-100 nm gate regions

    D. Ito, A. Sakai, O. Nakatsuka, H. Kondo, Y. Akasaka, M. Ogawa, S. Zaima

    2006.4

     More details

    Language:English  

    Systematic characterization of Ni full silicide in sub-100 nm gate regions

  • Study of the gate insulator/silicon interface utilizing soft and hard X-ray photoelectron spectroscopy at Spring-8 Reviewed

    T. Hattori, H. Nohira, K. Azuma, K. W. Sakai, K. Nakajima, M. Suzuki, K. Kimura, Y. Sugita, E. Ikenaga, K. Kobayashi, Y. Takata, H. Kondo, S. Zaima

    International Journal of High Speed Electronics and Systems   16 ( 1 )   353 - 364   2006.3

     More details

    Language:Others   Publishing type:Research paper (other academic)  

    DOI: 10.1142/S0129156406003680

  • Initial growth process of TiN films in ultrahigh-vacuum rapid thermal chemical vapor deposition Reviewed

    Y Okuda, S Naito, O Nakatsuka, H Kondo, T Okuhara, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   45 ( 1A )   49 - 53   2006.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.45.49

  • Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy Reviewed

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 10 )   7582 - 7587   2005.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.44.7582

  • Nanoscale Observations for Degradation Phenomena in SiO_2 and High-k Gate Insulators Using Conductive-Atomic Force Microscopy Reviewed

    ZAIMA Shigeaki, SEKO Akiyoshi, WATANABE Yukihiko, SAGO Toshifumi, SAKASHITA Mitsuo, KONDO Hiroki, SAKAI Akira, OGAWA Masaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2005   236 - 237   2005.9

     More details

    Language:English   Publishing type:Research paper (other academic)  

    Nanoscale Observations for Degradation Phenomena in SiO_2 and High-k Gate Insulators Using Conductive-Atomic Force Microscopy

  • Fabrication and evaluation of floating gate memories with surface-nitrided Si nanocrystals Reviewed

    S Naito, T Ueyama, H Kondo, M Sakashita, A Sakai, M Ogawa, S Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 7B )   5687 - 5691   2005.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.44.5687

  • Behavior of local current leakage in stressed gate SiO2 films analyzed by conductive atomic force microscopy Reviewed

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 7B )   4683 - 4686   2004.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.43.4683

  • Detection and characterization of stress-induced defects in gate SiO2 films by conductive atomic force microscopy Reviewed

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 7B )   4679 - 4682   2004.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.43.4679

  • Growth of silicon nanocrystal dots with high number density by ultra-high-vacuum chemical vapor deposition Reviewed

    S Naito, M Satake, H Kondo, M Sakashita, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 6B )   3779 - 3783   2004.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.43.3779

  • Nanoscale analysis of degradation phenomena in MOS gate insulators using conductive atomic force microscopy

    S. Zaima, H. Kondo, M. Sakashita, A. Sakai, Y. Yasuda

    2004.6

     More details

    Language:English  

    Nanoscale analysis of degradation phenomena in MOS gate insulators using conductive atomic force microscopy

  • Thickness dependence of microscopic current-voltage characteristics in stressed SiO2 films Reviewed

    Y. Watanabe, A. Seko, H. Kondo, A. Sakai, S. Zaima, Y. Yasuda

    2004.5

     More details

    Language:English  

    Thickness dependence of microscopic current-voltage characteristics in stressed SiO2 films

  • Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO2 gate films Reviewed

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 4B )   1843 - 1847   2004.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.43.1843

  • Microscopic analysis of stress-induced leakage current in stressed gate SiO2 films using conductive atomic force microscopy Reviewed

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS   43 ( 2A )   L144 - L147   2004.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.43.L144

  • Local discharging of carriers at nanometer scale defects in gate SiO2 thin films observed by conducting atomic force microscopy

    A. Seko, Y. Watanabe, H. Kondo, A. Sakai, S. Zaima, Y. Yasuda

    2003.12

     More details

    Language:English  

    Local discharging of carriers at nanometer scale defects in gate SiO2 thin films observed by conducting atomic force microscopy

  • Coulomb blockade phenomena in Si metal-oxide-semiconductor field-effect transistors with nano-scale channels fabricated using focused-ion beam implantation Reviewed

    H Kondo, K Izumikawa, M Sakurai, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   38 ( 12B )   7222 - 7226   1999.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.38.7222

  • Conductance oscillations in low-dimensional ion implanted regions annealed by rapid thermal annealing Reviewed

    H Kondo, K Kaga, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   38 ( 4A )   1843 - 1846   1999.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.38.1843

▼display all

Books

  • New Progress on Graphene Research/Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori( Role: Joint author)

    InTech  2013.3 

     More details

    Responsible for pages:担当ページ数:10.5772/3358,Chapter9   Language:English  

    New Progress on Graphene Research/Graphene Nanowalls

  • Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu( Role: Joint author)

    Intech  2011.9 

     More details

    Responsible for pages:担当ページ数:21-36   Language:English  

    Nucleation and Vertical Growth of Nano-Graphene Sheets

Presentations

  • 超高密度60Hz大気圧非平衡プラズマを用いた表面処理技術の開発 International conference

    竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀 勝

    平成22年度成果報告会  2011.3 

     More details

    Language:Japanese  

    Venue:名古屋工業大学   Country:Other  

  • 配列カーボンナノウォールの作製 International conference

    神田貴幸, 近藤博基, 山川晃司, 竹田圭吾, 石川健治, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 酸素プラズマによるポーラスSiOCH膜の構造変化機構 International conference

    浅野高平, 山本洋, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 非平衡大気圧H2/Arプラズマによる酸化銅還元メカニズム International conference

    竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Country:Other  

  • 非平衡大気圧プラズマを用いたミドリカビ殺菌におけるテラヘルツ分光センシング International conference

    平岡丈弘, 海老塚昇, 竹田圭吾, 太田貴之, 近藤博基, 伊藤昌文, 川瀬晃道, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • 非平衡大気圧プラズマにおける活性種の3次元気相反応解析

    加藤正規, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 高密度60Hz大気圧プラズマ生成酸素原子絶対密度の空間分布 International conference

    Fendong Jia, 竹田圭吾, 石川健治, 加納浩之, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • 高温における窒化ガリウム(GaN)のエッチング機構 International conference

    米谷亮祐, 陳 尚, 蘆 翌, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 江川孝志, 天野 浩, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • 高温エッチングにおける窒化ガリウム(GaN)の表面変性:角度分解X線光電子解析 International conference

    盧 翌, 陳 尚, 米谷亮祐, 石川健治, 近藤博基, 竹田圭吾, 関根 誠, 江川孝志, 天野 浩, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • Control of crystalline and electronic structures of carbon nanowalls for their device applications

    Kondo H

    IEEE Region 10 Annual International Conference, Proceedings/TENCON  2010.12 

     More details

    Language:English  

    Country:Other  

    Control of crystalline and electronic structures of carbon nanowalls for their device applications

  • Development and Application of Electron Beam-Excited Plasma System using Hollow Cathode Electron Source

    K.Yamakawa, S.Den, S.Takahashi, H.Yamamoto, M.Hori, H.Kondo

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials,  2010.3 

     More details

    Language:English  

    Country:Other  

    Development and Application of Electron Beam-Excited Plasma System using Hollow Cathode Electron Source

  • Dispersive Optical Elements for TMT

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori, Y. Hirahara, S. Sato, M. Iye

    2010.10 

     More details

    Language:English  

    Country:Other  

    Dispersive Optical Elements for TMT

  • Hard X-ray photoelectron spectroscopy analysis for organic-inorganic hybrid materials formation

    Cho K

    Ceramic Transactions  2010.9 

     More details

    Language:English  

    Country:Other  

    Hard X-ray photoelectron spectroscopy analysis for organic-inorganic hybrid materials formation

  • Ni suicide and germanide technology for contacts and metal gates in MOSFET applications

    Zaima S

    ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings  2007.8 

     More details

    Language:English  

    Country:Other  

    Ni suicide and germanide technology for contacts and metal gates in MOSFET applications

  • Electrical properties and bonding structures of germanium nitride/Ge(100) structures formed by radical nitridation

    Kondo H

    ECS Transactions  2006.12 

     More details

    Language:English  

    Country:Other  

    Electrical properties and bonding structures of germanium nitride/Ge(100) structures formed by radical nitridation

  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates

    Mochizuki S

    Third International SiGe Technology and Device Meeting, ISTDM 2006 - Conference Digest  2006.12 

     More details

    Language:English  

    Country:Other  

    Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates

  • Preparation and evaluation of NiGe gate electrodes for metal-oxide- semiconductor devices

    Kaneko Y

    Proceedings - Electrochemical Society  2004.12 

     More details

    Language:English  

    Country:Other  

    Preparation and evaluation of NiGe gate electrodes for metal-oxide- semiconductor devices

  • 超臨界流体を用いた酸化チタン微粒子のカーボン材料への担持 International conference

    堀部剛良, 三ツ口真司, 平松美根男, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • Study on mechanism of ultrahigh speed synthesis of high crystallinity nanographene employing in-liquid plasma

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Study on mechanism of ultrahigh speed synthesis of high crystallinity nanographene employing in-liquid plasma

  • Study on precursor adsorption and reaction within SiO2 growth cycle of low temperature plasma-enhanced atomic layer deposition using in-situ ATR-FTIR

    Y. Lu, A. Kobayashi, Y. Kim, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Study on precursor adsorption and reaction within SiO2 growth cycle of low temperature plasma-enhanced atomic layer deposition using in-situ ATR-FTIR

  • Subsequent temporal change of gaseous H and N radical density in H2/N2 plasma after air exposure and its control

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Subsequent temporal change of gaseous H and N radical density in H2/N2 plasma after air exposure and its control

  • Superior properties of carbon nanowalls as cell scaffolds

    Y. Okamoto, H. Watanabe, K. Kubo, H. Kondo, N. Kaji, M. Tokeshi, M. Hori, Y. Baba

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Superior properties of carbon nanowalls as cell scaffolds

  • Surface Analysis of GaN at Elevated Substrate Temperature

    Kenji Ishikawa, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10)  2013.8 

     More details

    Language:English  

    Country:Other  

    Surface Analysis of GaN at Elevated Substrate Temperature

  • Temperature measurement of carbon nanowall / silicon substrateusing super-continuum light source on low-coherence interferometry

    T. Hiraoka, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013.2 

     More details

    Language:English  

    Country:Other  

    Temperature measurement of carbon nanowall / silicon substrateusing super-continuum light source on low-coherence interferometry

  • The atmospheric pressure plasmas

    H. Kano, K. Takeda, H. Kondo, S. Den, Y. Higashijima, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    The atmospheric pressure plasmas

  • カーボンナノウォールの核発生制御に関する研究 International conference

    塚田僚介, 平松美根男, 近藤博基, 堀勝

    応用物理学会SC東海地区学術講演会 2013  2013.11 

     More details

    Language:Japanese  

    Country:Other  

  • カーボンナノウォールの表面化学修飾とナノバイオ応用 International conference

    近藤博基, 渡邊均, 石川健治, 関根誠,堀勝, 平松美根男

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • カーボンナノウォールに対する酸素原子及びアルゴンイオンの同時照射効果 International conference

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • カーボンナノウォールの結晶構造に対するラジカル酸化効果(Ⅱ) International conference

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:English  

    Venue:神奈川工科大学   Country:Other  

  • ガスデザインに基づいた高選択絶縁膜エッチングの検討 International conference

    宮脇雄大, 浅野高平, 近藤祐介, 竹田圭吾, 田嶋聡美, 近藤博基, 石川健治, 林俊雄, 関根誠, 伊東安曇, 松本裕一, 堀勝

    第156回シリコンテクノロジー研究集会  2013.2 

     More details

    Language:Japanese  

    Venue:東京大学本郷キャンパス   Country:Other  

  • ナノグラフェン合成中の液中プラズマの分光診断 International conference

    安藤睦, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 太田貴之, 伊藤昌文, 平松美根男, 加納浩之, 堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • ハイドロフルオロカーボンプラズマによるArFフォトレジストの低ラフネスエッチング機構 International conference

    浅野 高平, 宮脇 雄大, 石川 健治, 関根 誠, 伊東 安曇, 松本 裕一, 竹田 圭吾, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • ハイドロフルオロカーボンプラズマによるArFフォトレジストの低ラフネスエッチング機構 International conference

    浅野高平, 宮脇雄大, 石川健治, 関根誠, 伊東安曇, 松本裕一, 竹田圭吾, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマCVDを用いて作製したカーボンナノウォールのバイオセンサ特性 International conference

    永島 三千弥, 渡邊 均, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマCVDを用いて作製したカーボンナノウォールのバイオセンサ特性 International conference

    永島三千弥, 渡邊均, 平松美根男, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマによるHとNラジカルの表面損失確率の変化 International conference

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5) International conference

    王浩然, 石川健治, 堀邉英夫, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • プラズマプロセスによるArFフォトレジスト表面凹凸の解析 International conference

    竹内拓也, 石川健治, 節原裕一, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(4) International conference

    石川健治, 堀邉英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝, 王浩然

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • プラズマ励気ミスト化学気相成長法を用いた絶縁膜合成 International conference

    孫 昿達, 竹田 圭吾, 近藤 博基, 田嶋 聡美, 石川 健治, 関根 誠, 堀 勝, 伊藤 仁

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマ励気ミスト化学気相成長法を用いた絶縁膜合成 International conference

    孫昿達, 竹田圭吾, 近藤博基, 田嶋聡美, 石川健治, 関根誠, 堀勝, 伊藤仁

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対する基板バイアス印加効果 International conference

    中村将之, 賈凌雲, 徐達, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • プラズマ化学気相堆積法を用いたナノグラフェンの核発生によるカーボンナノウォールの選択成長 International conference

    塚田 僚介, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマ化学気相堆積法を用いたナノグラフェンの核発生によるカーボンナノウォールの選択成長 International conference

    塚田僚介, 平松美根男, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマ医療科学の創成とその展望 International conference

    堀 勝, 井関 紗千子, 田中 昌弘, 石川 健治, 近藤 博基, 竹田 圭吾, 関根 誠, 中村 香江, 林 萌美, 梶山 広明, 加納 浩之, 吉川 史隆

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • プラズマ医療科学の創成とその展望 International conference

    堀勝, 井関紗千子, 田中昌弘, 石川健治, 近藤博基, 竹田圭吾, 関根誠, 中村香江, 林萌美, 梶山広明, 加納浩之, 吉川史隆

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センターS会場   Country:Other  

  • プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究 International conference

    石川健治, 記念講演, 鷲見直也, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    プラズマエレクトロニクス賞受賞記念講演、平成25年秋季第74回応用物理学会学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学京田辺キャンパス   Country:Other  

  • プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究 International conference

    石川健治, 記念講演, 鷲見直也, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    プラズマエレクトロニクス賞受賞記念講演、平成25年秋季第74回応用物理学会学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学京田辺キャンパス   Country:Other  

  • プリンタブルエレクトロニクスに向けたプラズマ励起ミスト化学気相堆積技術に関する研究 International conference

    孫昿達, 竹田圭吾, 伊藤仁, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 International conference

    加島 洋平, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 International conference

    加島洋平, 平松美根男, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • ミドリカビ胞子からの実時間その場ESR信号観察 International conference

    石川 健治, 水野 寛子, 田中 宏昌, 橋爪 博司, 太田 貴之, 伊藤 昌文, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝

    第66回日本酸化ストレス学会学術集会  2013.6 

     More details

    Language:Japanese  

    Venue:WINCあいち,名古屋   Country:Other  

  • ラジカル注入型プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造及びラジカル密度に対するガス流量効果 International conference

    徐達,賈凌雲, 中村将之, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • ラジカル注入型プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造及び電気特性に対するボロン注入効果 International conference

    賈凌雲, 九鬼淳, 于楽泳, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • 先進プラズマ技術による産業イノベーション International conference

    堀勝, 関根誠, 近藤博基, 竹田圭吾

    テクノ・フェア名大2013 -工学が挑む新時代の科学・技術-  2013.9 

     More details

    Language:Japanese  

    Venue:名古屋大学豊田講堂・シンポジオンホール   Country:Other  

  • 卵巣癌細胞におけるプラズマの抗腫瘍効果とROSの関与 International conference

    中村 香江, 梶山 広明, 内海史, 田中 宏昌, 水野 正明, 石川 健治, 近藤 博基, 加納 浩之, 堀 勝, 吉川 史隆

    第66回日本酸化ストレス学会学術集会  2013.6 

     More details

    Language:Japanese  

    Venue:WINCあいち,名古屋   Country:Other  

  • 塩素ガスプラズマによるGaNエッチングにおける窒素ガス添加の効果 International conference

    劉沢セイ, 朴鐘胤, 曹佳棟, 加古隆, 石川健治, 小田修, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • 塩素ガスプラズマによる窒素ガリウムの高温エッチング International conference

    米谷亮祐, 陳尚, 曹佳棟, 劉沢せい, 石川健治, 竹田圭吾, 近藤博基, 関根誠, 天野浩, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • 塩素ガスプラズマによる窒素ガリウムの高温エッチング International conference

    米谷亮祐, 陳尚, 曹佳棟, 劉沢せい, 石川健治, 竹田圭吾, 近藤博基, 関根誠, 天野浩, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • 大気圧プラズマを用いたミスト化学気相堆積法によるシリコン酸化膜の低温形成 International conference

    孫昿達, 竹田圭吾, 伊藤仁, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • 水素ラジカル注入型プラズマCVDによる微結晶シリコン膜の特性解析 International conference

    阿部祐介, 三輪佳大, 福島敦史, 陸雅, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 液中プラズマで合成したナノグラフェンを用いた燃料電池用白金触媒電極の特性評価 International conference

    天野智貴, 加納浩之, 竹田圭吾, 近藤博基, 太田貴之, 伊藤昌文, 平松美根男, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • 混合ガスプラズマ培養液によるグリオーマ脳腫瘍培養細胞に対する抗腫瘍効果の解析

    権田亮, 田中宏昌, 竹田圭吾, 田嶋聡美, 近藤博樹, 石川健治, 関根誠, 加納浩之, 水野正明, 堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 窒化ガリウム(GaN)向けたサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測(Ⅱ) International conference

    堤隆嘉, 竹田圭吾, 石川健治, 近藤博基, 太田貴之, 伊藤昌文, 関根誠, 堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 窒素プラズマを用いた化学修飾によるカーボンナノウォールの構造および電気的特性の制御 International conference

    趙亨峻, 近藤博基, 石川健治, 関根誠, 平松美根男, 堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 超臨界流体を用いた2 段階担持プロセスによるカーボンナノウォールへのPtナノ微粒子の超高密度担持および粒径分布制御 International conference

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 関根誠, 平松美根男, 堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 超臨界流体を用いた2段階担持法によるカーボンナノウォールへの超高密度Pt-Auナノ微粒子担持 International conference

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 平松美根男, 関根誠, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • 超臨界流体を用いた2段階担持法によるカーボンナノウォールへの超高密度Pt-Auナノ微粒子担持 International conference

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 平松美根男, 関根誠, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • 電気二重層キャパシタに用いるカーボンナノウォール電極の電気化学評価 International conference

    吉田 圭祐, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Language:Japanese  

    Venue:アクトシティ浜松・研修交流センター S会場   Country:Other  

  • 非平衡大気圧プラズマによる先端グリーン・ライフイノベーション International conference

    豊田 浩孝, 石川 健治, 堀 勝, 関根 誠, 近藤 博基, 竹田 圭吾

    テクノ・フェア名大2013 -工学が挑む新時代の科学・技術-  2013.9 

     More details

    Language:Japanese  

    Venue:名古屋大学豊田講堂・シンポジオンホール   Country:Other  

  • A High Temperature Plasma Etching of GaN and Its Reaction Mechanism

    R. Kometani, S. Chen, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    A High Temperature Plasma Etching of GaN and Its Reaction Mechanism

  • A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism

    K. Asano, Y. Miyawaki, K. Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism

  • AC励起大気圧プラズマを用いた下水モニタリング装置の開発

    J.S KULARATNE, 加納 浩之, 伊藤 昌文, 太田 貴之, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム  2012.10 

     More details

    Language:Japanese  

    Venue:なごやサイエンスパーク、サイエンス交流プラザ大会議室   Country:Other  

  • Advanced Plasma Science and Technologies for Nanostructure Control of Carbon Nanomaterials

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012.9 

     More details

    Language:English  

    Country:Other  

    Advanced Plasma Science and Technologies for Nanostructure Control of Carbon Nanomaterials

  • An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN

    H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN

  • Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

    Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Toshio Hayashi, Masaru Hori

    International Conference on Plasma Science  2012.7 

     More details

    Language:English  

    Country:Other  

    Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

  • Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

    Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Toshio Hayashi, Masaru Hori

    International Conference on Plasma Science  2012.7 

     More details

    Language:English  

    Country:Other  

    Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

  • Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences

    Masasu Hori (Plenary, Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Moemi Hayashi, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito, Hiroyuki Kano

    The 2nd International Symposium for Plasma Biosciences  2012.8 

     More details

    Language:English  

    Country:Other  

    Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences

  • C5HF7ガスプラズマによるArFフォトレジストの表面ラフネス形成の低減機構 International conference

    浅野高平, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 伊東安曇, 松本裕一, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • Change of GaAs Surface Properties by Irradiation of Cl2 Ions

    Jongyun Park, Takuya Takeuchi, Jiadong Cao, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Change of GaAs Surface Properties by Irradiation of Cl2 Ions

  • Comprehensive study of atmospheric pressure plasma

    M. Hori, K. Ishikawa, H. Kondo, K. Takeda, M. Tanaka, S. Makoto, T. Ohta, M. Ito

    69th IUVSTA Workshop on Oxidation of Organic Materials by Excited Radicals Created in Non-equilibrium Gaseous Plasma  2012.12 

     More details

    Language:English  

    Country:Other  

    Comprehensive study of atmospheric pressure plasma

  • Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN)

    R. Kometani, S. Chen, J. Park, J. Cao, Y. Lu, K.Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012.10 

     More details

    Language:English  

    Country:Other  

    Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN)

  • Critical Factors of Synthesis Rate and Crystallinity of Nanographene in Ultrahigh-Speed Nanographene Synthesis Employing Alcohol Plasma

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology  2012.7 

     More details

    Language:English  

    Country:Other  

    Critical Factors of Synthesis Rate and Crystallinity of Nanographene in Ultrahigh-Speed Nanographene Synthesis Employing Alcohol Plasma

  • Crystalline and Electrical Properties of Vertically-Laminated Carbon Nanowalls formed by Two-Step Growth Method

    H. Kondo, T. Kanda, M. Hiramatsu, K. Ishikawa, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012.10 

     More details

    Language:English  

    Country:Other  

    Crystalline and Electrical Properties of Vertically-Laminated Carbon Nanowalls formed by Two-Step Growth Method

  • Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma

    H. J. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    2012 International Conference on Solid State Devices and Materials (SSDM 2012)  2012.9 

     More details

    Language:English  

    Country:Other  

    Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma

  • Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology  2012.7 

     More details

    Language:English  

    Country:Other  

    Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments

  • Effect of Nitrogen Doping on Photoconductivity of Amorphous Carbon Films Grown by Radical-injection Plasma-enhanced Chemical Vapor Deposition

    Leyong Yu, Jun Kuki, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Effect of Nitrogen Doping on Photoconductivity of Amorphous Carbon Films Grown by Radical-injection Plasma-enhanced Chemical Vapor Deposition

  • Effect of nitrogen doping on photoconductivity of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition International conference

    Leyong Yu, Jun Kuki, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Effect of nitrogen doping on photoconductivity of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

  • Effects of Carbon Nanowall Scaffold on HeLa Cell Culture

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Makoto Sekine, Mineo Hiramatsu, Yoshinobu Baba, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Effects of Carbon Nanowall Scaffold on HeLa Cell Culture

  • Effects of Edge Termination on Crystalline and Electronic Structures of Carbon Nanowalls by Post-growth Nitrogen Plasma Treatment

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition (E-MRS 2012 FALL MEETING)  2012.9 

     More details

    Language:English  

    Country:Other  

    Effects of Edge Termination on Crystalline and Electronic Structures of Carbon Nanowalls by Post-growth Nitrogen Plasma Treatment

  • Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012.9 

     More details

    Language:English  

    Country:Other  

    Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

  • Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the International Union of Materials Research Society-International Conference in Asia-2012 (IUMRS-ICA-2012)  2012.8 

     More details

    Language:English  

    Country:Other  

    Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

  • Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls

  • Effects of Pulse Bias on Crystallographic Properties of Amorphous Carbon Films Grown by Plasma-enhanced Chemical Vapor Deposition

    Jun Kuki, Leyong Yu, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Effects of Pulse Bias on Crystallographic Properties of Amorphous Carbon Films Grown by Plasma-enhanced Chemical Vapor Deposition

  • Electron Spin Resonance (ESR) observation of radicals on biological organism interacted with plasmas

    Ishikawa K

    Materials Research Society Symposium Proceedings  2012.12 

     More details

    Language:English  

    Country:Other  

    Electron Spin Resonance (ESR) observation of radicals on biological organism interacted with plasmas

  • Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma

    Kenji Ishikawa, Hiromasa Tanaka, Hiroko Moriyama, Sachiko Iseki, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroyuki Kano

    International Conference on Plasma Science  2012.7 

     More details

    Language:English  

    Country:Other  

    Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma

  • Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas, WW-2.6

    K. Ishikawa, S. Iseki, H. Moriyama, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    2012 MRS Spring Meeting & Exhibit, Moscone West California  2012.4 

     More details

    Language:English  

    Country:Other  

    Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas, WW-2.6

  • Evaluation of Relationship between μC-Si Film Property and Flux Ratio of H Radicals to Film Precursors

    2012.10 

     More details

    Language:English  

    Venue:Kyoto University ROHM Plaza, Kyoto, Japan,   Country:Other  

  • Fabrication of graphene-based films using remote plasma CVD

    Mineo Hiramatsu, Ryosuke Tsukada, Yohei Kashima, Masateru Naito, Hiroki Kondo, Masaru Hori

    65th Annual Gaseous Electronics Conference  2012.10 

     More details

    Language:English  

    Country:Other  

    Fabrication of graphene-based films using remote plasma CVD

  • Field Electron Emitter Fabricated by Plasma Etching of Organic Material

    Toshiya Suzuki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Yuichi Setsuhara, Seiji Shiratani, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012.9 

     More details

    Language:English  

    Country:Other  

    Field Electron Emitter Fabricated by Plasma Etching of Organic Material

  • Field Electron Emitter Fabricated by Plasma Etching of Organic Material

    Toshiya Suzuki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Yuichi Setsuhara, Seiji Shiratani, Makoto Sekine, Masaru Hori

    the International Union of Materials Research Society-International Conference in Asia-2012 (IUMRS-ICA-2012)  2012.8 

     More details

    Language:English  

    Country:Other  

    Field Electron Emitter Fabricated by Plasma Etching of Organic Material

  • Formation of 10-nm Organic Pillars by Plasma Etch with Pt particle masks and their Field Emission Properties.

    Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondoi, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012.6 

     More details

    Language:English  

    Country:Other  

    Formation of 10-nm Organic Pillars by Plasma Etch with Pt particle masks and their Field Emission Properties.

  • GaNにおけるプラズマダメージのラジカル修復(3) International conference

    Zecheng Liu, 陳 尚, 盧 翌, 米谷亮祐, 石川健治, 加納浩之, 竹田圭吾, 近藤博基, 関根 誠, 江川孝志, 堀 勝, 天野 浩

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • Generation Mechanism of Ions and Radicals in CHxFy Etching Plasmas

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Generation Mechanism of Ions and Radicals in CHxFy Etching Plasmas

  • Gradual transition of chemical structures at initial growth stage of carbon nanowalls

    Hiroki Kondo, Koji Yasuda, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition (E-MRS 2012 FALL MEETING)  2012.9 

     More details

    Language:English  

    Country:Other  

    Gradual transition of chemical structures at initial growth stage of carbon nanowalls

  • Healing Process of Plasma-damaged Gallium Nitride (GaN)

    Kenji Ishikawa(invite, Shang Chen, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 1st International Conference on Emerging Advanced Nanomaterials (ICEAN),  2012.10 

     More details

    Language:English  

    Country:Other  

    Healing Process of Plasma-damaged Gallium Nitride (GaN)

  • High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

    Tsutsumi Takayoshi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012.6 

     More details

    Language:English  

    Country:Other  

    High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

  • High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

    Tsutsumi Takayoshi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012.6 

     More details

    Language:English  

    Country:Other  

    High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

  • High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma

    Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma

  • High-speed synthesis and crystalinity control of nanographene using inliquid plasma in alcohol

    M.Hori, H.Kondo, T.Hagino, K.Ishikawa, K.Takeda, H.Kano, M.Sekine

    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases  2012.7 

     More details

    Language:English  

    Country:Other  

    High-speed synthesis and crystalinity control of nanographene using inliquid plasma in alcohol

  • Highly Selective Etching of Dielectrics over SiC Film by DC Superposed Dual-Frequency CCP

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Highly Selective Etching of Dielectrics over SiC Film by DC Superposed Dual-Frequency CCP

  • Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP

  • Identification of ESR signals arisen from Penicillium digitatum spores-2 International conference

    Hiroko Mizuno, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Identification of ESR signals arisen from Penicillium digitatum spores-2

  • Impact of Last Reactor Condition to Radical Density in Subsequent H2/N2 plasma and its Control International conference

    Toshiya Suzuki, Arkadiusz Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuiti Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Impact of Last Reactor Condition to Radical Density in Subsequent H2/N2 plasma and its Control

  • In Situ XPS Analysis of ArF Photoresist Modification Caused by Fluorocarbon Ions and Radicals

    T. Takeuchi, S. Amasaki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012.9 

     More details

    Language:English  

    Country:Other  

    In Situ XPS Analysis of ArF Photoresist Modification Caused by Fluorocarbon Ions and Radicals

  • Influence of Last Condition in Plasma Reactor on Gaseous Radical Density

    Toshiya Suzuki, Arkadiusz Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Influence of Last Condition in Plasma Reactor on Gaseous Radical Density

  • Interface Trap Generation by VUV/UV Radiation from Fluorocarbon Plasma

    M. Fukasawa, Y.Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, H. Matsugai, T. Honda, M. Minami, F. Uesawa, M. Hori, T. Tatsumi

    59th AVS International Symposium and Exhibition  2012.10 

     More details

    Language:English  

    Country:Other  

    Interface Trap Generation by VUV/UV Radiation from Fluorocarbon Plasma

  • Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

    Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, Andrzej Jakubowski, Lidia Lukasiak

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012.9 

     More details

    Language:English  

    Country:Other  

    Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

  • Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

    Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, Andrzej Jakubowski, Lidia Lukasiak

    the International Union of Materials Research Society-International Conference in Asia-2012 (IUMRS-ICA-2012)  2012.8 

     More details

    Language:English  

    Country:Other  

    Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

  • Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist

    A.Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Takeuchi, T. Suzuki, A. Jakubowski, L. Lukasiak, D.Tomaszewski

    59th AVS International Symposium and Exhibition  2012.10 

     More details

    Language:English  

    Country:Other  

    Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist

  • Investigation of modification of ArF photoresist during plasma etching processes

    K.Takeuchi, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, M.Hori

    14th Annual TECHCON Conference Technology&Talent for the 21st Century  2012.9 

     More details

    Language:English  

    Country:Other  

    Investigation of modification of ArF photoresist during plasma etching processes

  • Low Temperature Deposition and Characteristics of GaN Thin Film by HF-PECVD

    Youn Joon Kim, Yi Lu, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Low Temperature Deposition and Characteristics of GaN Thin Film by HF-PECVD

  • Measurement of SiH3 Radicals in SiH4/H2 Plasma for Silicon Thin-Film Solar Cells International conference

    Yusuke Abe, Atsushi Fukushima, Ya Lu, Youn Joon Kim, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

  • Measuring Metal Elements in Food Products Using Ar Atmospheric Pressure Plasma International conference

    Jagath Kularatne, Hiroyuki Kano, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Measuring Metal Elements in Food Products Using Ar Atmospheric Pressure Plasma

  • Mechanism and Control of Roughness Formation on ArF Photoresist by C5HF7 Etching Plasma

    Kohei Asano, Yudai Miyawaki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Azumi Ito, Hirokazu Matsumoto, Hiroki Kondo, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Mechanism and Control of Roughness Formation on ArF Photoresist by C5HF7 Etching Plasma

  • Mechanism of Etching and Generating Active Species in CHxFy Plasma International conference

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Mechanism of Etching and Generating Active Species in CHxFy Plasma

  • Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012.10 

     More details

    Language:English  

    Country:Other  

    Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis

  • Mechanism of Generating Ions and Radicals in C3F6O Plasma

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M.Sekine, M. Hori

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2012.1 

     More details

    Language:English  

    Country:Other  

    Mechanism of Generating Ions and Radicals in C3F6O Plasma

  • Microcrystal Orientation of Silicon Thin Film Deposited with Hydrogen Radical Injection Plasma Enhanced CVD

    Lu Ya, Astushi Fukushima, Yusuke Abe, Youn J. Kim, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Microcrystal Orientation of Silicon Thin Film Deposited with Hydrogen Radical Injection Plasma Enhanced CVD

  • Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam

    T. Takeuchi, S. Amasaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2012.1 

     More details

    Language:English  

    Country:Other  

    Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam

  • Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry

    Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry

  • Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition (E-MRS 2012 FALL MEETING)  2012.9 

     More details

    Language:English  

    Country:Other  

    Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

  • Nucleation mechanism of self-organized vertical nano-graphenes grown using inductively coupled plasma enhanced chemical vapor deposition

    R. Tsukada, Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    Nucleation mechanism of self-organized vertical nano-graphenes grown using inductively coupled plasma enhanced chemical vapor deposition

  • Observation of Plasma-Exposed GaN Surface at High Temperature

    Ryosuke Kometani, Shang Chen, Yi Lu, David Cao, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takashi Egawa, Horishi Amano, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Observation of Plasma-Exposed GaN Surface at High Temperature

  • Optical Properties of Carbon Nano Walls in Terahertz Frequencies Region

    Takehiro Hiraoka, Keigo Takeda, Takayuki Ohta, Noboru Ebitsuka, Hiroki Kondo, Kenji Ishikawa, Kodo Kawase, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Optical Properties of Carbon Nano Walls in Terahertz Frequencies Region

  • Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma

    M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, K. Nagahata, F. Uesawa, M. Hori, T. Tatsumi

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma

  • Plasma surface modification of carbon nanowalls for biosensor application

    M. Nagashima, T. Maeda, M. Hiramatsu, H. Watanabe, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    Plasma surface modification of carbon nanowalls for biosensor application

  • Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ Electron Spin Resonance Measurements

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Taked, H. Kondo, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012.11 

     More details

    Language:English  

    Country:Other  

    Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ Electron Spin Resonance Measurements

  • Properties of GaAs surface by ion irradiation of chlorine International conference

    Jongyun Park, Takuya Takeuchi, Jiadong Cao, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Properties of GaAs surface by ion irradiation of chlorine

  • Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012.6 

     More details

    Language:English  

    Country:Other  

    Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

  • Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012.6 

     More details

    Language:English  

    Country:Other  

    Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

  • Real time in situ Electron Spin Resonance (ESR) study of Surface Reaction on Polymer Interacted with Plasma

    Masaru Hori, Kenji Ishikawa, Naoya Sumi, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Akihiko Kono, Hideo Horibe

    International Conference on Plasma Science  2012.7 

     More details

    Language:English  

    Country:Other  

    Real time in situ Electron Spin Resonance (ESR) study of Surface Reaction on Polymer Interacted with Plasma

  • Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas

    K. Ishikawa, H. Moriyama, N. Sumi, K. Tamiya, H. Hashizume, T. Ohta, M. Ito, A. Kono, H. Horibe, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012.9 

     More details

    Language:English  

    Country:Other  

    Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas

  • Reconsideration on Effects of H Radicals on Thin Film Surfaces in Plasma Enhanced Chemical Vapor Deposition employing SiH4/H2 Gases

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Young Joon Kim, Makoto Sekine

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Reconsideration on Effects of H Radicals on Thin Film Surfaces in Plasma Enhanced Chemical Vapor Deposition employing SiH4/H2 Gases

  • Recovery of Plasma-Damaged GaN by Employing Exposure of Radicals

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, Hiroyuki Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Recovery of Plasma-Damaged GaN by Employing Exposure of Radicals

  • Relationship between Ion Energy and Highly Selective SiO2 Etching Characteristics using C5HF7 Gas International conference

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Ishikawa Kenji, Azumi Ito, Hirikazu Matsumoto, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Relationship between Ion Energy and Highly Selective SiO2 Etching Characteristics using C5HF7 Gas

  • Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma (NEAPP)

    S. Iseki, K. Nakamura, M. Hayashi, H. Tanaka, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    4th International Conference on Plasma Medicine  2012.6 

     More details

    Language:English  

    Country:Other  

    Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma (NEAPP)

  • Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma, WW-2.4

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M.Hori

    2012 MRS Spring Meeting & Exhibit, Moscone West California  2012.4 

     More details

    Language:English  

    Country:Other  

    Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma, WW-2.4

  • Selective killing of ovarian cancer cells through induction of apoptosis by a nonequilibrium atmospheric pressure plasma

    Tanaka H

    Materials Research Society Symposium Proceedings  2012.12 

     More details

    Language:English  

    Country:Other  

    Selective killing of ovarian cancer cells through induction of apoptosis by a nonequilibrium atmospheric pressure plasma

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Tanaka Hiromasa, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012.6 

     More details

    Language:English  

    Country:Other  

    Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Tanaka Hiromasa, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012.6 

     More details

    Language:English  

    Country:Other  

    Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

  • SiH4/H2プラズマ化学気相堆積法におけるHラジカル・成膜前駆体フラックス比とシリコン薄膜膜質の関係性 International conference

    福島敦史, 阿部祐介, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝, 陸 雅

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • Silicon full wafer bonding with atomic layer deposited titanium dioxide and aluminum oxide intermediate films

    Puurunen R

    Sensors and Actuators, A: Physical  2012.12 

     More details

    Language:English  

    Country:Other  

    Silicon full wafer bonding with atomic layer deposited titanium dioxide and aluminum oxide intermediate films

  • Spectroscopic Determination of Radical Densities in SiH4/H2 Plasma

    Yusuke Abe, Atsushi Fukushima, Ya Lu, Youn Joon Kim, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Spectroscopic Determination of Radical Densities in SiH4/H2 Plasma

  • Studies on Plasma Etching of Si3N4 Employing New Alternative Gas, C5HF7

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Azumi Ito, Hirokazu Matsumoto, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Studies on Plasma Etching of Si3N4 Employing New Alternative Gas, C5HF7

  • Study on reaction mechanism of plasma-enhanced atomic layer deposition of SiO2 using in-situ ATR-FTIR International conference

    Yi Lu, Akiko Kobayashi, Youn Joon Kim, Kenji Ishikawa, Hiroki Kond, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Study on reaction mechanism of plasma-enhanced atomic layer deposition of SiO2 using in-situ ATR-FTIR

  • Study on synthesis mechanisms of nano-graphene materials employing alcohol in-liquid plasma International conference

    2012.9 

     More details

    Language:English  

    Country:Other  

    Study on synthesis mechanisms of nano-graphene materials employing alcohol in-liquid plasma

  • Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes

    T. Suzuki, A. Malinowski, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012.10 

     More details

    Language:English  

    Country:Other  

    Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes

  • Surface Roughness on Plasma-Etched Gallium Nitride (GaN)

    Kenji Ishiwaka, Ryosuke Kometani, Shang Chen, Yi Lu, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012.9 

     More details

    Language:English  

    Country:Other  

    Surface Roughness on Plasma-Etched Gallium Nitride (GaN)

  • Temperature measurement of substrate with a thin film using low-coherence interference

    Takayoshi Tsutsumi, Takehiro Hiraoka, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    65th Annual Gaseous Electronics Conference  2012.10 

     More details

    Language:English  

    Country:Other  

    Temperature measurement of substrate with a thin film using low-coherence interference

  • The Formation of the Insulating Film with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition by Hexamethyldisiloxan Mist

    Kuangda Sun, Keigo Takeda, Hiroki Kondo, Satomi Tajima, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Hitoshi Itoh

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    The Formation of the Insulating Film with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition by Hexamethyldisiloxan Mist

  • Two-Step Supporting of High-Density Metal Nanoparticle Catalysts on Carbon Nanowalls using Supercritical Fluid

    Takeyoshi Horibe, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012.10 

     More details

    Language:English  

    Country:Other  

    Two-Step Supporting of High-Density Metal Nanoparticle Catalysts on Carbon Nanowalls using Supercritical Fluid

  • Vibrational Sum-Frequency-Generation (SFG))Spectroscopic study-2 International conference

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2012.9 

     More details

    Language:English  

    Country:Other  

    Vibrational Sum-Frequency-Generation (SFG))Spectroscopic study-2

  • 「講演奨励賞受賞記念講演」有機材料エッチングによる電界電子放出ナノピラーの作成(II) International conference

    Arkadiusz Malinowski, 竹田圭吾, 近藤博基, 石川健治, 節原裕一, 白谷正治, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • カーボンナノウォールのテラヘルツ時間領域分光計測による光学特性評価 International conference

    平岡丈弘, 竹田圭吾, 太田貴之, 海老塚昇, 近藤博基, 石川健治, 伊藤昌文, 川瀬晃道, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • カーボンナノウォールの結晶構造に対するラジカル酸化効果 International conference

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • プラズマからの紫外線照射によるSiNx:H/Si界面準位生成 International conference

    深沢正永, 松谷弘康, 本多孝好, 宮脇雄大, 近藤祐介, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 南 正樹, 上澤史且, 堀 勝, 辰巳哲也

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対するパルスバイアス印加効果 International conference

    九鬼 淳, 于 楽泳, 近藤博基, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • プリンタブルエレクトロニクスに向けた大気圧ミスト化学気相堆積技術の構築 International conference

    孫 昿達, 竹田圭吾, 近藤博基, 田嶋聡美, 石川健治, 関根 誠, 堀 勝, 伊藤 仁

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 二周波容量結合型プラズマへのDCバイアス重畳によるSiC膜に対する高選択比絶縁膜エッチング International conference

    古室達也, 竹田圭吾, 石川健治, 関根 誠, 大矢欣伸, 近藤博基, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 次世代天文学観測装置用の新しい回折格子 International conference

    海老塚 昇, 佐々木 実, 青木 和光, Andrea Bianco

    第37回 光学シンポジウム  2012.6 

     More details

    Language:Japanese  

    Venue:東京大学 生産技術研究所 An棟 コンベンションホール   Country:Other  

  • 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜のRFパワーによる膜質変化 International conference

    陸 雅, 福島敦史, 阿部祐介, 金 淵俊, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 窒化ガリウム(GaN)向けサファイア基板の周波数領域型低コヒーレンス干渉計による温度計 International conference

    堤 隆嘉, 竹田圭吾, 石川健治, 近藤博基, 太田貴之, 伊藤昌文, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 窒素/水素混合ガスプラズマを用いたグラフェンエッジ化学修飾によるカーボンナノウォールの電気的特性制御 International conference

    趙 亨峻, 近藤博基, 石川健治, 関根 誠, 平松美根男, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 細胞培養に対するカーボンナノウォール足場の効果 International conference

    渡邊 均, 近藤博基, 岡本行広, 関根 誠, 平松美根男, 堀 勝, 馬場嘉信

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 超臨界流体を用いたカーボンナノウォール(CNWs)へのPt, Au微粒子の2段階担持における微粒子相互作用 International conference

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 関根 誠, 平松美根男, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 高温における窒化ガリウム(GaN)のエッチング機構(3) International conference

    米谷亮祐, 陳 尚, 曹 佳棟, Michael Liu, 石川健冶, 竹田圭吾, 近藤博基, 関根 誠, 江川孝志, 天野 浩, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Other  

  • 10 GHzマイクロ波スロット励起大気圧プラズマにおけるプラズマ生成と活性種の挙動に関する研究 International conference

    加藤正規, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝, 三好秀典, 久保田雄介, 伊藤 仁

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • Advanced Plasma Nanotechnology fir Control Synthesis and Biomedical Applications of Carbon Nanomaterials

    HirokiKondo(Invite

    NanoMedicine-2011  2011.11 

     More details

    Language:English  

    Country:Other  

    Advanced Plasma Nanotechnology fir Control Synthesis and Biomedical Applications of Carbon Nanomaterials

  • Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment

    H.Watanabe, K.Takeda, H.Kondo, M.Hiramatsu, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials,  2011.3 

     More details

    Language:English  

    Country:Other  

    Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment

  • Ar Flow Rate Dependence of Emission Intensity of Metal Atoms in Atmospheric Pressure Atomization Plasma International conference

    2011.8 

     More details

    Language:English  

    Country:Other  

    Ar Flow Rate Dependence of Emission Intensity of Metal Atoms in Atmospheric Pressure Atomization Plasma

  • Area-selective of Carbon Nanowalls by Using Catalyzed Substrate

    Y.Nihashi, M.Hiramatsu, H.Kondo, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Area-selective of Carbon Nanowalls by Using Catalyzed Substrate

  • Atom Behaivior of Ultrahigh Density 60 Hz Atmospheric Pressure Plasma under Ar ambient Conditions International conference

    F.Jia, K.Takeda, K.Ishikawa, H.Kano.H.Kondo, M.sekine, M.Hori

    2011.10 

     More details

    Language:English  

    Country:Other  

    Atom Behaivior of Ultrahigh Density 60 Hz Atmospheric Pressure Plasma under Ar ambient Conditions

  • Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film

    Y.Abe,A.Fukushima, Y.Lu, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    64thAnnualGaseouselectronicsConference  2011.11 

     More details

    Language:English  

    Country:Other  

    Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film

  • C3F6/O2プラズマ中のイオン・ラジカルの生成機構 International conference

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 林俊雄, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明(II) International conference

    近藤祐介, 宮脇雄大, 竹田圭吾, 石川健治, 近藤博基, 林俊雄, 関根誠, 岡本秀一, 堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • C5HF7 Chemistry for Highly Selective Etch of SiO2 over Si

    Y.Miyawaki, Y.Kondo, H.yamamoto, K.Takeda, K.Ishikawa, A.yamazaki, A.Ito, H.Matsumoto, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    C5HF7 Chemistry for Highly Selective Etch of SiO2 over Si

  • C5HF7/O2/ArプラズマによるArfレジスト表面ラフネス発生抑制機構 (II) International conference

    浅野高平, 山本 洋, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝, 山崎敦代, 伊藤安曇, 松本裕一

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • CH4/H2プラズマを用いて成長したカーボンナノウォールの結晶構造及び電気的特徴 International conference

    趙 亨峻, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    2011.11 

     More details

    Language:Japanese  

    Venue:石川県立音楽堂   Country:Other  

  • CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気伝導度の温度依存性 International conference

    趙亨峻, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気的特性 International conference

    趙 亨峻, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • Carbon nanowalls: growth mechanism and nucleation control

    Invited, M. Hiramatsu, H. Kondo, M. Hori

    International Conference on Processing & Manufacturing of Advanced Materials (THERMEC'2011)  2011.8 

     More details

    Language:English  

    Country:Other  

    Carbon nanowalls: growth mechanism and nucleation control

  • Carbon nanowalls:synthesis and application

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori(Invited

    4th International conference on advanced plasma technologies,  2011.9 

     More details

    Language:English  

    Country:Other  

    Carbon nanowalls:synthesis and application

  • Chemical Modeling of Etch Process Using C3F6O Alternative Gas

    Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Chemical Modeling of Etch Process Using C3F6O Alternative Gas

  • Chemical Modeling of Etch Process Using C3F6O Alternative Gas

    Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Chemical Modeling of Etch Process Using C3F6O Alternative Gas

  • Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy International conference

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    2011.7 

     More details

    Language:English  

    Country:Other  

    Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

  • Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy International conference

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    2011.7 

     More details

    Language:English  

    Country:Other  

    Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

  • Control of Bridging Growth and Electrical properties of Single Carbon Nanowalls

    T. Kanda, H. Kondo, K. Yamakawa, M. Hiramatsu, K. Takeda, K. Ishikawa, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science,  2011.3 

     More details

    Language:English  

    Country:Other  

    Control of Bridging Growth and Electrical properties of Single Carbon Nanowalls

  • Controlled Synthesis of Carbon Nanowalls and their Characterization Employing Synchrotron X-ray

    HirokiKondo

    BIT's1stAnnual World Congress of Nano-S&T,  2011.10 

     More details

    Language:English  

    Country:Other  

    Controlled Synthesis of Carbon Nanowalls and their Characterization Employing Synchrotron X-ray

  • Controlled Synthesis of Carbon Nanowalls for Functional Device Applications

    Hiroki Kondo, Masaru Hori, Makoto Sekine, Mineo Hiramatsu(Invited

    4th International conference on advanced plasma technologies,  2011.9 

     More details

    Language:English  

    Country:Other  

    Controlled Synthesis of Carbon Nanowalls for Functional Device Applications

  • Crystallinity Control of Microcrystalline Silicon film Employing Hydrogen Radical-injection Plasma-enhanced Chemical Vapor Deposition

    S.Kawashima, Y.Abe, K.Takeda, K.ishikawa, H.Kondo, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Crystallinity Control of Microcrystalline Silicon film Employing Hydrogen Radical-injection Plasma-enhanced Chemical Vapor Deposition

  • Crystallographic Analysis of Amorphous Carbon Films Synthesized by Radical-injection Plasma-enhanced Chemical Vapor Deposition International conference

    L.Yu,J.Kuki, K.Takeda, H.Kondo, K.ishikawa, M.Sekine, M.Hori

    2011.10 

     More details

    Language:English  

    Country:Other  

    Crystallographic Analysis of Amorphous Carbon Films Synthesized by Radical-injection Plasma-enhanced Chemical Vapor Deposition

  • Crystallographic and Electrical Properties of Semiconducting Graphene Nanoribbon Grown Employing CH4/H2 plasma

    H.J.Cho, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    2011SSDM  2011.9 

     More details

    Language:English  

    Country:Other  

    Crystallographic and Electrical Properties of Semiconducting Graphene Nanoribbon Grown Employing CH4/H2 plasma

  • DCバイアス重畳二周波容量結合型プラズマの電子密度空間分布計測 International conference

    古室達也, 竹田圭吾, 石川健治, 関根 誠, 大矢欣伸, 近藤博基, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • Deep Level Defect in GaN after Plasma Beam Etching

    S.Chen,R.Kometani, K.Ishikawa, H.Kondo, K.Takeda, H.Kano, Y.Tokuda, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Deep Level Defect in GaN after Plasma Beam Etching

  • Determination of C Atom Density in Microwave Plasma with Carbon-Containing Gases by Vacuum Ultraviolet Absorption Spectroscopy

    K.Murata, M.Naito, M.Hiramatsu, S.Takashima, H.Kondo, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Determination of C Atom Density in Microwave Plasma with Carbon-Containing Gases by Vacuum Ultraviolet Absorption Spectroscopy

  • Development of radical kinetic behaivour investigation method and its application for sticking coefficient estimation

    A.Malinowski, M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda

    ESSDERC2011  2011.9 

     More details

    Language:English  

    Country:Other  

    Development of radical kinetic behaivour investigation method and its application for sticking coefficient estimation

  • Diagnostics and Surface Reaction Analysis on RF Sputtering Process for Co Nanoparticles Formation

    I-S Bae, K. Kuno, M. Ito, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science,  2011.3 

     More details

    Language:English  

    Country:Other  

    Diagnostics and Surface Reaction Analysis on RF Sputtering Process for Co Nanoparticles Formation

  • Effect of Hydrogen Radical Injection on Growth Property and Crystallinity of Microcrystalline Silicon Thin Film

    Y.Abe,A.Fukushima, Y.Lu, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    2011SSDM  2011.9 

     More details

    Language:English  

    Country:Other  

    Effect of Hydrogen Radical Injection on Growth Property and Crystallinity of Microcrystalline Silicon Thin Film

  • Effects of Argon Flow Rate on the Atomic Emission Process of Cu Solution in Atmospheric Pressure Plasma

    J.Kularatne, H.Kano, M.Ito, T.Ohta, K.Takeda, H.Kondo, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Effects of Argon Flow Rate on the Atomic Emission Process of Cu Solution in Atmospheric Pressure Plasma

  • Effects of Oxgen Radical Irradiation on Crystalline Structures of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Hiramatsu Mineo, Makoto Sekine, Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  2011.7 

     More details

    Language:English  

    Country:Other  

    Effects of Oxgen Radical Irradiation on Crystalline Structures of Carbon Nanowalls

  • Effects of RF Bias on Structural Properties of Amorphous Carbon Films Grown by Plasma-Enhanced Chemical Vapor Deposition

    J.Kuki, L.Yu.H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    ICTF-15  2011.11 

     More details

    Language:English  

    Country:Other  

    Effects of RF Bias on Structural Properties of Amorphous Carbon Films Grown by Plasma-Enhanced Chemical Vapor Deposition

  • Effects of Substrate Temperatures on Crystallographic Changes of Carbon Nanowalls Induced by radical Irradiation

    H.Shimoeda, H.Kondo, K.Taakeda, K.Ishikawa, M.Hiramatsu, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Effects of Substrate Temperatures on Crystallographic Changes of Carbon Nanowalls Induced by radical Irradiation

  • Electric and Crystallographic Structures of Carbon Nanowalls

    HirokiKondo,HyungJunCho, TakayukiKanda, MasaruHori,MineoHiramatsu

    2011MRS Fall Meeting  2011.11 

     More details

    Language:English  

    Country:Other  

    Electric and Crystallographic Structures of Carbon Nanowalls

  • Electrical and Chemical Structures of Nanographene Nucleated at Initial Growth Processes of Carbon Nanowalls

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Electrical and Chemical Structures of Nanographene Nucleated at Initial Growth Processes of Carbon Nanowalls

  • Electron-SpinResonance(ESR)Analyisis of Plasma-SurfaceInteraction International conference

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011.7 

     More details

    Language:English  

    Country:Other  

    Electron-SpinResonance(ESR)Analyisis of Plasma-SurfaceInteraction

  • Evalutaion of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy

    T.Hiraoka, N.Ebizuka, K.Takeda, T.Ohta, H.Kondo, K.Ishikawa, K.Kawase, M.Ito, M.Sekine, M.Hori

    64thAnnualGaseouselectronicsConference  2011.11 

     More details

    Language:English  

    Country:Other  

    Evalutaion of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy

  • Fabrication and Electrical Conductivity Measurements of Single Carbon Nanowall Bridging between Metal Eectrodes

    T.Kanda, K.Yamakawa, H.Kondo, M.Hiramatsu, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Fabrication and Electrical Conductivity Measurements of Single Carbon Nanowall Bridging between Metal Eectrodes

  • Fabrication of Carbon Nanowalls on Carbon Fiber Paper

    S.Mitsuguchi, M.Hiramatsu, H.Kondo, M.Hori, H.Kano

    2011SSDM  2011.9 

     More details

    Language:English  

    Country:Other  

    Fabrication of Carbon Nanowalls on Carbon Fiber Paper

  • Fabrication of High-Crystallinity and low-Defect-Density Microcrystalline Silicon Thin Film Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition International conference

    Yusuke Abe, Sho Kawashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011.7 

     More details

    Language:English  

    Country:Other  

    Fabrication of High-Crystallinity and low-Defect-Density Microcrystalline Silicon Thin Film Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition

  • Fabrication of carbon nanotubes from camphor using atmospheric pressure plasma-enhanced CVD

    K. Murata, M. Hiramatsu, K. Yamakawa, H. Kondo, M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011)  2011.9 

     More details

    Language:English  

    Country:Other  

    Fabrication of carbon nanotubes from camphor using atmospheric pressure plasma-enhanced CVD

  • Field Emission Properties of 10-nm Pillars of Organic Fabricated by Pt particles and Plasma Etching

    T.Suzuki, K.Takeda, H.Kondo, K.Ishikawa, Y.Setsuhara, M.Shiratani, M.Sekine, M.Hori

    2011SSDM  2011.9 

     More details

    Language:English  

    Country:Other  

    Field Emission Properties of 10-nm Pillars of Organic Fabricated by Pt particles and Plasma Etching

  • Formation of Ultrahigh Density TiO2 Nanoparticles Supporting on Carbon Nanowalls Employing Supercritical Fluid Chemical Fluid Deposition

    T.Horibe, S.Mitsuguchi, M.Hiramatsu, H.Kondo, M.Sekine, M.Hori

    ICTF-15  2011.11 

     More details

    Language:English  

    Country:Other  

    Formation of Ultrahigh Density TiO2 Nanoparticles Supporting on Carbon Nanowalls Employing Supercritical Fluid Chemical Fluid Deposition

  • GaNにおけるプラズマダメージの水素ラジカル修復 International conference

    陳 尚 盧, 翌, 米谷亮祐, 竹田圭吾, 石川健治, 近藤博基, 加納浩之, 徳田 豊 関根 誠, 江川孝志, 天野 浩, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • Gas Phase Reaction of Activated Species in O2/Ar Nonequilibrium Atmospheric Pressure Plasma

    M. Kato, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science,  2011.3 

     More details

    Language:English  

    Country:Other  

    Gas Phase Reaction of Activated Species in O2/Ar Nonequilibrium Atmospheric Pressure Plasma

  • Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell

    H.Kondo(in

    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization  2011.3 

     More details

    Language:English  

    Country:Other  

    Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell

  • Growth of Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition Employing Camphor International conference

    Yuki Nihashi, Mineo Hiramatsu, HirokiKondo, MasaruHori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011.7 

     More details

    Language:English  

    Country:Other  

    Growth of Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition Employing Camphor

  • Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Cheemical Vapor Deposition on Carbon Fiber Paper International conference

    Shinji Mitsuguchi, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011.7 

     More details

    Language:English  

    Country:Other  

    Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Cheemical Vapor Deposition on Carbon Fiber Paper

  • Growth of carbon nanowalls on carbon paper for fuel cell electrode

    S. Mitsuguchi, Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011)  2011.9 

     More details

    Language:English  

    Country:Other  

    Growth of carbon nanowalls on carbon paper for fuel cell electrode

  • Growth of two-dimensional carbon nanostructures using plasma-enhanced chemical vapor deposition employing camphor

    Y. Nihashi, T. Maeda, M. Hiramatsu, H. Kondo, M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011)  2011.9 

     More details

    Language:English  

    Country:Other  

    Growth of two-dimensional carbon nanostructures using plasma-enhanced chemical vapor deposition employing camphor

  • Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma

    HirokiKondo

    ICMAP2011  2011.7 

     More details

    Language:English  

    Country:Other  

    Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma

  • High Performance of 60-Hz Atmospheric Pressure Plasma: Basic Characteristics and Applications

    F.Jia, K.Takeda, K.Ishikawa, H.Inui, S.Iseki, H.Kano, H.Kondo, M.Sekine, M.Hori

    AVS58thINternationalSymposium&Exhibition  2011.10 

     More details

    Language:English  

    Country:Other  

    High Performance of 60-Hz Atmospheric Pressure Plasma: Basic Characteristics and Applications

  • High Temprerature N2 Plasma Induced Stoichiometric Modification In Etched GaN Surface Using Angle-resolved XPS Depth Profiling Analysis International conference

    Y.Lu, S.Chen, R.Kometani, K.Ishikawa, H.Kondo, K.Takeda, M.Sekine, T.Egawa, H.Amano, M.Hori

    2011.10 

     More details

    Language:English  

    Country:Other  

    High Temprerature N2 Plasma Induced Stoichiometric Modification In Etched GaN Surface Using Angle-resolved XPS Depth Profiling Analysis

  • Higly Spatial Mapping of Atomic Oxgen Dentisy in a 60-Hz Atmospheric Pressure Plasmas Using Clibrated two-Photon Laser-Induced Fluorescence

    Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Hiroki Kondo, Makoto Sekine, Masaru Hori

    AEPSE2011  2011.9 

     More details

    Language:English  

    Country:Other  

    Higly Spatial Mapping of Atomic Oxgen Dentisy in a 60-Hz Atmospheric Pressure Plasmas Using Clibrated two-Photon Laser-Induced Fluorescence

  • In Situ Analysis of Plasma-Induced Modification on Porous SiOCH Films

    H.Yamamoto, K.Asano, K.Ishikawa, K.Takeda, H.Kondo, M.Sekine, M.Hori

    2011SSDM  2011.9 

     More details

    Language:English  

    Country:Other  

    In Situ Analysis of Plasma-Induced Modification on Porous SiOCH Films

  • In situ ESR Masurements for revealing Plasma-Surface Interactions

    KenjiIshikawa,NaoyaSumi, AkihikoKono, HideoHoribe, KeigoTakeda, HirokiKondo, MakotoSekine, MasaruHori

    AEPSE2011  2011.9 

     More details

    Language:English  

    Country:Other  

    In situ ESR Masurements for revealing Plasma-Surface Interactions

  • In situ XPS Analysis of Surface Modification on ArF Photoresist by Fluorocarbon Plasma Beams

    T.Takeuchi, S.Amasaki, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    In situ XPS Analysis of Surface Modification on ArF Photoresist by Fluorocarbon Plasma Beams

  • In-situ Analysis of Modification on Porous SiOCH During and After O2 Plasmas

    K.Asano, H.Yamamoto, K.Ishikawa, K.Takeda, H.Kondo, M.Sekine, M.Hori

    ADMETA Plus 2011  2011.9 

     More details

    Language:English  

    Country:Other  

    In-situ Analysis of Modification on Porous SiOCH During and After O2 Plasmas

  • In-situ FTIR Analysis of Porous Low-k Film Exposed to O2 Plasma

    K.Asano, H.yamamoto, K.Takeda, K.ishikawa, H.Kondo, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    In-situ FTIR Analysis of Porous Low-k Film Exposed to O2 Plasma

  • In-situ FTIRを用いたプラズマ曝露および大気曝露によるポーラスSiOCH low-k膜の化学組成変化解析 International conference

    山本洋, 浅野高平, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • In-situX線光電子分光法によるGaNをプラズマビームの相互作用解明 International conference

    米谷亮祐, 陳尚, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 節原裕一, 江川孝志, 天野浩, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching

    K.Asano, H.Yamamoto, Y.Miyawaki, K.Takeda, H.Kondo, K.Ishikawa, A.Yamazaki, A.Ito, H.Matsumoto, M.Sekine, M.Hori

    DPS2011  2011.11 

     More details

    Language:English  

    Country:Other  

    Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching

  • Interaction of Gallium Nitride(GaN)Surface with chlorine Plasma Beams Analyzed by In-situ XPS

    R.Kometani, S.Chen, K.ishikawa, H.Kondo, K.takeda, M.Sekine, H.Amano, Y.Setsuhara, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Interaction of Gallium Nitride(GaN)Surface with chlorine Plasma Beams Analyzed by In-situ XPS

  • Investigation of GaN Exposed to Plasma at High Temperature

    R.Kometani, S.Chen.Y.Lu, K.Ishikawa, K.Takeda, H.Kondo, T.egawa, H.Amano, M.Sekine, M.Hori

    DPS2011  2011.11 

     More details

    Language:English  

    Country:Other  

    Investigation of GaN Exposed to Plasma at High Temperature

  • Investigation of Si Etch Reaction with F and O Radicals Using SF6/O2 Plasma

    S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, T. Ohiwa

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Investigation of Si Etch Reaction with F and O Radicals Using SF6/O2 Plasma

  • Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

    A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, L. Lukasiak

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

  • Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

    A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, L. Lukasiak

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

  • Investigation of mechanism at sidewall of through Si via(TSV)etching induced by SF6/O2plasma

    S.Amasaki, T.Takeuchi, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori, N.Sakurai, H.Hayashi, I.Sakai, T.Ohiwa

    DPS2011  2011.11 

     More details

    Language:English  

    Country:Other  

    Investigation of mechanism at sidewall of through Si via(TSV)etching induced by SF6/O2plasma

  • Measurement of Atomic Oxygen in Ultrahigh Density 60 Hz Atmospheric Pressure Plasma by Two Photon Absorption Laser Induced Fluorescence

    F. Jia, K. Takeda, K. Ishikawa, H. Inui, S. Iseki, H. Kano, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Measurement of Atomic Oxygen in Ultrahigh Density 60 Hz Atmospheric Pressure Plasma by Two Photon Absorption Laser Induced Fluorescence

  • Measurement of Optical Properties of Carbon-based Material Using Terahertz Time domain Spectroscopy

    T.Hiraoka, K.Takeda, K.Ishikawa, H.Kondo, M.Ito, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Measurement of Optical Properties of Carbon-based Material Using Terahertz Time domain Spectroscopy

  • Measurement of the Electron Temperature and Density of 60 Hz Nonequikibrium Atmospheric Pressure Plasma Jet by Laser Thomson Scattering

    F.Jia, N.Sumi, K.Ishikawa, H.Kano, H.Inui, K.Takeda, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Measurement of the Electron Temperature and Density of 60 Hz Nonequikibrium Atmospheric Pressure Plasma Jet by Laser Thomson Scattering

  • Measuring Metal Elements in Wastewater Using Ar Atmospheric Pressure Plasma International conference

    2011.3 

     More details

    Language:English  

    Country:Other  

  • Mechanism of highly Selective SiO2 Etching over Si using New Alternative Gas,C5HF7

    Y.Miyawaki, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori, A.Yamazaki, A.Ito, H.Matsumoto

    64thAnnualGaseouselectronicsConference  2011.11 

     More details

    Language:English  

    Country:Other  

    Mechanism of highly Selective SiO2 Etching over Si using New Alternative Gas,C5HF7

  • Modeling of C3F6O/Ar Plasma Chemical for SiO2 Etching Processes

    Y.Kondo, Y.Miyawaki, K.Takeda, K.Ishikawa, H.Kondo, T.Hayashi, M.Sekine, M.Hori

    DPS2011  2011.11 

     More details

    Language:English  

    Country:Other  

    Modeling of C3F6O/Ar Plasma Chemical for SiO2 Etching Processes

  • Modeling of C3F6O/Ar Plasma Chemistry for SiO2 Etching Processes

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, KenjiIshikawa, ToshioHayashi

    AEPSE2011  2011.9 

     More details

    Language:English  

    Country:Other  

    Modeling of C3F6O/Ar Plasma Chemistry for SiO2 Etching Processes

  • Nitogen Radical Annealing recovery of Etch-Induced-Damage on GaN

    K.Ishikawa, S.Chen, R.Kometani, H.Kondo, K.Takeda, H.Kano, Y.Tokuda, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Nitogen Radical Annealing recovery of Etch-Induced-Damage on GaN

  • Novel Gratings for Subaru Telescope, TMT and E-ELT

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori

    2011.1 

     More details

    Language:English  

    Country:Other  

    Novel Gratings for Subaru Telescope, TMT and E-ELT

  • Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

    Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

  • Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

    Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

  • O Radical Measurement in Penicillum Digitatum Inactivation Using Non-equilibrium Atmospheric O2/Ar Plasma

    S.Iseki, T.Ohta, M.Ito, H.Kano, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    O Radical Measurement in Penicillum Digitatum Inactivation Using Non-equilibrium Atmospheric O2/Ar Plasma

  • Passivation of Plasma Damaged GaN with Hydrogen Radical Anneal

    Sh. Chen, Y. Lu, R. Kometani, K. Takeda, K. Ishikawa, H. Kondo, H. Kano, Y. Tokuda, M. Sekine, Y. Setsuhara, T. Egawa, H. Amano, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Passivation of Plasma Damaged GaN with Hydrogen Radical Anneal

  • Plasma Nano-Technologies for Fabrications of VPH Grating,Quasi-Bragg Grating and Novel Immersion Grating

    N.Ebizuka, H.Kondo, K.Ishikawa, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Plasma Nano-Technologies for Fabrications of VPH Grating,Quasi-Bragg Grating and Novel Immersion Grating

  • Plasma-Induced Damage of GaN and Its Recovery by Atomic Hydrogens at a Room Temperature

    Y.Lu, S.Chen, R.Kometani, K.Takeda, H.Kondo, T.Egawa, K.Ishikawa, H.Amano, M.Sekine, M.Hori

    2011SSDM  2011.9 

     More details

    Language:English  

    Country:Other  

    Plasma-Induced Damage of GaN and Its Recovery by Atomic Hydrogens at a Room Temperature

  • PolymerSurfaceModification:Real-time In Situ Electron Spin Resonance Study for Plasma Processes

    K.Ishikawa, N.Sumi, A.Kono, H.Horibe, K.Takeda, H.Kondo, M.Sekine, M.Hori

    AVS58thINternationalSymposium&Exhibition  2011.10 

     More details

    Language:English  

    Country:Other  

    PolymerSurfaceModification:Real-time In Situ Electron Spin Resonance Study for Plasma Processes

  • Radical Flux Modeling and Analysis for Sticking Coefficient Evaluation

    Mailnowski, M.Hori, M.Sekine, K.Ishikawa, H.Kondo, H.yamamoto, T.Takeuchi, T.Suzuki, A.jakubowski, L.Lukasiak

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Radical Flux Modeling and Analysis for Sticking Coefficient Evaluation

  • Radical density measurements in microwave plasma with carbon-containing gases used for carbon nanotube and nanocrystalline diamond film growth

    M. Hiramatsu, H. Kondo, M. Hori

    20th International Symposium on Plasma Chemistry  2011.7 

     More details

    Language:English  

    Country:Other  

    Radical density measurements in microwave plasma with carbon-containing gases used for carbon nanotube and nanocrystalline diamond film growth

  • Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  2011.7 

     More details

    Language:English  

    Country:Other  

    Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction

  • Real-time/In-situ Electron-Spin-Resonance Analysis of Surface Reactions on Organic Materials in Plasma Processes

    N. Sumi, K. Ishikawa, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine, M.Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Real-time/In-situ Electron-Spin-Resonance Analysis of Surface Reactions on Organic Materials in Plasma Processes

  • Real-time/In-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation

    N.Sumi, K.Ishikawa, A.Kono, H.HOribe, K.Takeda, H.Kondo, M.sekine, M.Hori

    DPS2011  2011.11 

     More details

    Language:English  

    Country:Other  

    Real-time/In-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation

  • Recovery of plasma-damaged GaN by atomic nitrogen hiydrogen

    Shang Chen,Yi Lu, Ryousuke Kome, Konji,Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  2011.7 

     More details

    Language:English  

    Country:Other  

    Recovery of plasma-damaged GaN by atomic nitrogen hiydrogen

  • Relationship between aradicals in Gas Phase and film Property of Silicon Thin Films in SiH4/H2PlasmaCVD

    A.Fukushima, Y.Abe, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    ICTF-15  2011.11 

     More details

    Language:English  

    Country:Other  

    Relationship between aradicals in Gas Phase and film Property of Silicon Thin Films in SiH4/H2PlasmaCVD

  • Room Temperature Radical Annealing of Plasma Damaged

    S.Chen,Y.Lu, K.Takeda, K.Ishikawa, H.Kondo, H.Kano, H.Amano, Y.Tokuda, T.Egawa, M.sekine, M.Hori

    AVS58thINternationalSymposium&Exhibition  2011.10 

     More details

    Language:English  

    Country:Other  

    Room Temperature Radical Annealing of Plasma Damaged

  • SF6/O2プラズマを用いたSiエッチング機構 International conference

    尼崎新平, 竹内拓也, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • SF6/O2プラズマを用いたSiエッチング機構III International conference

    尼崎新平, 竹内拓也, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝, 櫻井典子, 林 久貴, 酒井伊都子, 大岩徳久

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • SF6/O2プラズマを用いたSiエッチング機構II International conference

    尼崎新平, 竹内拓也, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝, 櫻井典子, 林久貴, 酒井伊都子, 大岩徳久

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • STS Observation of Local Density of States on Growth Surface at an Initial Stage of Carbon Nanowall Synthesis

    K.Yasuda, H.Kondo, M.Hiramatsu, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials,  2011.3 

     More details

    Language:English  

    Country:Other  

    STS Observation of Local Density of States on Growth Surface at an Initial Stage of Carbon Nanowall Synthesis

  • SiH4/H2プ ラズマ化学気相堆積法における気相ラジカルとシリコン薄膜の膜質との関係性 International conference

    福島敦史, 阿部佑介, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    第3回薄膜太陽電池セミナー  2011.10 

     More details

    Language:Japanese  

    Country:Other  

  • SiH4/H2プラズマにおける水素多事狩る表面損失確率 International conference

    阿部祐介, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Country:Other  

  • SiH4/H2プラズマ化学気相堆積法における気相ラジカルとシリコン薄膜結晶性の関係性 International conference

    福島敦史, 阿部祐介, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • SiNx:H膜エッチングに及ぼす紫外線の影響 International conference

    深沢正永, 宮脇雄大, 近藤祐介, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 南 正樹, 上澤史且, 堀 勝, 辰巳哲也

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • SiO2 Plasma Etching Process Using Alternative Gas,C3F6O

    Y.Kondo, Y.MIyawaki, K.Takeda, K.Ishikawa, H.Kondo, T.hayashi, H.Okamoto, M.Sekine,M.hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    SiO2 Plasma Etching Process Using Alternative Gas,C3F6O

  • Spatial Distribution of Electron Density on DC-Superposed Dual-frequency Capacitively Coupled Plasma(DS-CCP)

    T.Komuro, K.Takeda, K.Ishikawa, M.Sekine, Y.Ohya, H.Kondo, M.Hori

    DPS2011,  2011.11 

     More details

    Language:English  

    Country:Other  

    Spatial Distribution of Electron Density on DC-Superposed Dual-frequency Capacitively Coupled Plasma(DS-CCP)

  • Sticking coefficient of hydrogen radicals on ArF photoresist estimated by parallel plate structure in conjunction with numerical analysis

    Malinowski A

    International Conference on Simulation of Semiconductor Processes and Devices, SISPAD  2011.11 

     More details

    Language:English  

    Country:Other  

    Sticking coefficient of hydrogen radicals on ArF photoresist estimated by parallel plate structure in conjunction with numerical analysis

  • Sticking coefficient of hydrogen radicals on ArF phtotoresist estimated by parallel plate structure in conjunction with numerical analysis

    A.Malinowski, M.Sekine, M.Hori, K.Ishikawa, H.Kondo, T.Suzuki, T.Takeuchi, H.Yamamoto, A.Jakubowski, L.Lukasiak, Tomaszewski

    SISPAD2011  2011.9 

     More details

    Language:English  

    Country:Other  

    Sticking coefficient of hydrogen radicals on ArF phtotoresist estimated by parallel plate structure in conjunction with numerical analysis

  • Study of Etch Reaction with F and O radicals using SF6/O2 Plasma

    S.Amasaki, T.Takeuchi, K.takeda, K.ishikawa, H.Kondo, M.sekine, M.Hori, N.Sakurai, H.Hayashi, I.Sakai, T.Ohiwa

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Study of Etch Reaction with F and O radicals using SF6/O2 Plasma

  • Study on modification process of photoresist by fluorocarbon and radicals

    T.Takeuchi, S.Amasaki, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori

    64thAnnualGaseouselectronicsConference  2011.11 

     More details

    Language:English  

    Country:Other  

    Study on modification process of photoresist by fluorocarbon and radicals

  • Study on photoresist surface modification induced by fluorocarbon etch species

    T.Takeuchi, S.Amasaki, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    DPS2011  2011.11 

     More details

    Language:English  

    Country:Other  

    Study on photoresist surface modification induced by fluorocarbon etch species

  • Sub-nm Scale Control of Etched-Profile-Fluctuations in Organic Low-k Film Etch

    T.Suzuki, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Sub-nm Scale Control of Etched-Profile-Fluctuations in Organic Low-k Film Etch

  • Subnanometer-scale control of feature size and shape in organic low-k film etch

    Toshiya. Suzuki, Shinji Mitsuguti, Arkadiusz Malinowski, Keigo Takeda, HirokiKondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    2011 ICMAP  2011.7 

     More details

    Language:English  

    Country:Other  

    Subnanometer-scale control of feature size and shape in organic low-k film etch

  • Surface Analysis of GaN Irradiated by Cl2 Plasma Beam

    Y. Lu, S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, T. Egawa, H. Amano, Y. Setsuhara, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Surface Analysis of GaN Irradiated by Cl2 Plasma Beam

  • Surface Loss Probability of Hydrogen Radical in SiH4/H2Plasma

    Y.Abe, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Surface Loss Probability of Hydrogen Radical in SiH4/H2Plasma

  • Synthesis of Amorphous Carbon Films Employing radical-injection Plasma-Enhanced Chemical Vapor Deposition system for Solar Cell

    T.Kino, H.Kondo, K.ishikawa, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Synthesis of Amorphous Carbon Films Employing radical-injection Plasma-Enhanced Chemical Vapor Deposition system for Solar Cell

  • Synthesis of Nano-graphene by Plasma in Liquid Ethanol

    T. Hagino, H. Kano, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011.3 

     More details

    Language:English  

    Country:Other  

    Synthesis of Nano-graphene by Plasma in Liquid Ethanol

  • Synthesis of Platinum Nanoparticles on Carbon Nanowall Surface by Supercritical Fluid Chemical Deposition

    S.Mitsuguchi, H.Kano, M.Hiramatsu, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Synthesis of Platinum Nanoparticles on Carbon Nanowall Surface by Supercritical Fluid Chemical Deposition

  • Three Dimensional Distribution of Atomic Radical in Nonequilibrium Atmospheric Pressure Plasma

    M.kato, K.Takede, K.Ishikawa, H.Kondo, M.Skine,M.Hor

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011.3 

     More details

    Language:English  

    Country:Other  

    Three Dimensional Distribution of Atomic Radical in Nonequilibrium Atmospheric Pressure Plasma

  • Time-dependent Radical Flux Analysis in ArF Photoresist Plasma Etching using Parallel Plate Structure International conference

    2011.8 

     More details

    Language:English  

    Country:Other  

    Time-dependent Radical Flux Analysis in ArF Photoresist Plasma Etching using Parallel Plate Structure

  • Ultrafast Synthesis of Nanographen Employing an Ultrahigh-density In-liquid Al-cohol Plasma

    T.Hagino, H.Kondo, H.Kano, K.Ishikawa, M.Sekine, M.Hori

    2011SSDM  2011.9 

     More details

    Language:English  

    Country:Other  

    Ultrafast Synthesis of Nanographen Employing an Ultrahigh-density In-liquid Al-cohol Plasma

  • すばる望遠鏡および次世代巨大望遠鏡用の新しい回折格子 International conference

    海老塚昇, 石川健治, 近藤博基, 堀勝、AndreaBianco,FilippoMariaZerbi

    2011年度光学シンポジウム  2011.7 

     More details

    Language:Japanese  

    Venue:東京大学   Country:Other  

  • アモルファスカーボン膜の工学的特性に対する成長温度の効果 International conference

    九鬼淳, 近藤博基, 関根誠, 堀勝

    第3回薄膜太陽電池セミナー  2011.10 

     More details

    Language:Japanese  

    Country:Other  

  • アルコール気液プラズマ生成するナノカーボンの電子スピン共鳴法によるその場観察 International conference

    石川健治, 萩野達也, 鷲見直也, 加納浩之, 竹田圭吾, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • アルコール気液プラズマを用いたナノグラフェンの合成 International conference

    萩野達也, 乾裕俊, 加納浩之, 石川健治, 竹田圭吾, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • アルコール液中プラズマを用いたナノグラフェンの制御合成 International conference

    荻野達也, 加納浩司, 石川健治, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • アルコール液中プラズマを用いたナノグラフェンの合成メカニズム International conference

    萩野達也, 加納浩之, 石川健治, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • アルモファスカーボン膜の結晶構造及び血管密度に対するRFバイアス印加効果 International conference

    九鬼淳, 于楽泳, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • カビ胞子とプラズマの相互作用の電子スピン共鳴法による観察 International conference

    石川健治, 井関紗千子, 太田貴之, 伊藤昌文, 加納浩之, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • カーボンナノウォールの初期成長表面における化学構造の変化に関する研究 International conference

    安田幸司, 近藤博基, 平松美根男, 関根誠, 堀勝

    2011.11 

     More details

    Language:Japanese  

    Venue:石川県立音楽堂   Country:Other  

  • カーボンナノウォールの結晶構造に対する酸素ラジカル照射効果 International conference

    下枝弘尚, 近藤弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • カーボンナノウォール初期成長過程における結晶構造変化 International conference

    安田幸司, 近藤博基, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • カーボンナノウォールの配向成長 International conference

    神田貴幸, 近藤博基, 山川晃司, 竹田圭吾, 石川健冶, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • カーボンナノウォールの初期成長過程における表面元素組成および化学結合状態の変化 International conference

    安田幸司, 近藤博基, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • カーボンナノウォールの初期成長過程におけるナノグラフェン核発生の観察 International conference

    安田幸司, 近藤博基, 平松美根男, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • カーボンナノウォールの超親水化と機能性バイオテンプレートへの応用 International conference

    井関紗千子, 堀勝, 渡辺均, 太田貴之, 近藤博基, 石川健治, 竹田圭吾, 関根誠, 伊藤昌文

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • テラヘルツ時間領域分光法を用いた非平衡大気圧プラズマによるミドリカビ殺菌の評価 International conference

    平岡丈弘, 海老塚昇, 竹田圭吾, 大田貴之, 近藤博基, 石川健治, 川瀬晃道, 伊藤昌文, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • バイオ応用に向けた60Hz大気圧プラズマ源の生成酸素原子の空間分布 International conference

    賈鳳東, 竹田圭吾, 石川健治, 乾裕俊, 井関紗千子, 加納浩之, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • フルオロカーボン系エッチング種によるArFフォトレジスト表面改質過程の解明 International conference

    竹内拓也, 尼崎新平, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明 (2) International conference

    竹内拓也, 尼崎新平, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明 International conference

    竹内拓也, 尼崎新平, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2) International conference

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析 International conference

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • プラズマプロセス中材料の表面反応の機構解析 International conference

    石川健治, 竹内拓也, 尼崎新平, 浅野高平, 山本洋, 鈴木俊哉, 竹田圭吾, 近藤博基, 関根誠,堀勝

    応用物理学会シリコンテクノロジー研究会第133 回 研究集会  2011.2 

     More details

    Language:Japanese  

    Country:Other  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の欠陥密度に対するRFバイアス印加の効果 International conference

    九鬼 淳, 于 楽泳, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造に対するRFバイアス印加の効果 International conference

    近藤博基, 木野徳重, 石川健治, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • プラズマ励起気相堆積法で合成したアモルファスカーボン結晶構造解析 International conference

    于 楽泳, 九鬼 淳, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • プラズマ化学気相堆積法によって成長したカーボンナノウォールの微細構造解析 International conference

    近藤博基, 下枝弘尚, 石川健治, 関根 誠, 堀 勝, 平松美根男

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • プラズマ化学気相堆積法によって成長したカーボンナノウォールの結晶構造に対する酸素ガス添加効果 International conference

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • ラジカル注入型プラズマ化学気相堆積法を用いた高結晶性・低欠陥密度微結晶シリコン薄膜の作製 International conference

    第24回プラズマ材料科学シンポジウム(SPSM24)  2011.7 

     More details

    Language:Japanese  

    Country:Other  

  • リアルタイム計測によるラジカルと固体表面相互反応プロセスの体系化 International conference

    堀勝(招待講演, 関根誠, 石川健治, 近藤博基, 竹田圭吾, 河野昭彦, 堀邊英夫

    Cat-CVD研究会  2011.6 

     More details

    Language:Japanese  

    Venue:金沢工業大学扇が丘キャンパス多目的ホール   Country:Other  

  • 低損傷GaNエッチングのための塩素プラズマビーム表面相互作用と角度分解XPSによるその場解析 International conference

    盧翌,陳尚, 米谷亮祐, 石川健治, 近藤博基, 竹田圭吾, 関根誠, 江川孝志, 天野浩, 節原裕一, 堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 単一カーボンナノウォールの架橋成長制御およびその電気的特性評価 International conference

    神田貴幸, 近藤博基, 山川晃治, 竹田圭吾, 石川健冶, 平松美根男, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 吸収分光法を用いた炭素含有ガスによるマイクロ波プラズマ中のラジカルの計測 International conference

    村田健一, 内藤全晃, 平松美根男, 高島成剛, 近藤博基, 堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水・超撥水性出現機構 International conference

    渡邊均, 近藤博基, 関根誠, 平松美根男, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 大気圧プラズマ処理によるカーボンナノウォール表面の超親水・超撥水発生機構 International conference

    渡邊均, 近藤博基, 関根誠, 堀勝, 平松美根男

    表面技術協会第124回講演大会  2011.9 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水性・超撥水性発現機構 International conference

    渡邊 均, 近藤博基, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • 実時間・その場観察電子スピン共鳴(ESR)を用いた水素原子照射による有機薄膜表面処理メカニズムの解析 International conference

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 微結晶シリコン成膜における水素ラジカル注入型プラズマ源の特性 International conference

    陸雅, 福島敦史, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 有機材料の超微細エッチングにおける白金微粒子の側壁保護作用に関する研究 International conference

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 節原裕一, 白谷正治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • 水素ラジカルによるGaN中プラズマ誘導欠陥の不活性化 International conference

    陳尚, 盧翌, 米谷亮祐, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜における欠陥密度の抑制効果 International conference

    陸 雅, 福島敦史, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • 水素ラジカル照射による有機薄膜表面処理の実時間・その場観察電子スピン共鳴(ESR)解析 International conference

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    Cat-CDV研究会  2011.6 

     More details

    Language:Japanese  

    Venue:金沢工業大学扇が丘キャンパス多目的ホール   Country:Other  

  • 燃料電池応用に向けたカーボンナノウォールの作製 International conference

    ミツ口真司, 堀部剛良, 平松美根男, 近藤博基, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 白金微粒子の側壁保護作用を用いた有機材料の超微細エッチング International conference

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 節原裕一, 白谷正治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 真空一貫プロセスによるGaNプラズマビームエッチング及び水素ラジカルダメージ層除去とその表界面反応機構の解明 International conference

    陳尚,盧翌, 米谷亮祐, 江川孝志, 石川健治, 近藤博基, 加納浩之, 徳田豊, 関根誠, 節原裕一, 竹田圭吾, 天野浩,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • 真空紫外吸収分光法を持ちたマイクロ波プラズマ中のC原子の密度計測 International conference

    村田健一, 内藤全晃, 平松美根男, 高島成剛, 近藤博基, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • 薄膜シリコン太陽電池プラズマプロセスにおけ水素ラジカル表面反応 International conference

    阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011.8 

     More details

    Language:Japanese  

    Venue:山形大学   Country:Other  

  • 薄膜太陽電池製造装置開発に向けたSiH4/H2プラズマにおける水素ラジカル表面損失確率計測 International conference

    阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011.3 

     More details

    Language:Japanese  

    Country:Other  

  • 超臨界流体を用いたカーボンナノウォール(CNWs)へのTiO2微粒子担持 International conference

    堀部剛良, ミツ口真司, 加納浩司, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011.10 

     More details

    Language:Japanese  

    Venue:名古屋大学   Country:Other  

  • Study on mechanism of gallium nitride growth employing a plasma-enhanced metal-organic chemical vapor deposition International conference

    2013.3 

     More details

    Language:Japanese  

    Country:Other  

    Study on mechanism of gallium nitride growth employing a plasma-enhanced metal-organic chemical vapor deposition

  • Real-time control of a wafer temperature for uniform plasma process

    Tsutsumi T

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  2019.2 

     More details

    Language:English  

    Country:Other  

    Real-time control of a wafer temperature for uniform plasma process

  • Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas

    Ueyama T

    Japanese Journal of Applied Physics  2017.6 

     More details

    Language:English  

    Country:Other  

    Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas

  • Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °c

    2017.6 

     More details

    Language:English  

    Country:Other  

  • Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

    Tomatsu M

    Japanese Journal of Applied Physics  2017.6 

     More details

    Language:English  

    Country:Other  

    Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

  • Electrocatalytic Characteristic of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Applications

    Hiroki Kondo

    2015 MRS Spring Meeting & Exhibit  2015.4 

     More details

    Language:English  

    Country:Other  

  • Electrocatalytic Property and Durability of Pt Nanoparticles-Supported Nanographene Synthesized by In-Liquid Plasma

    Hiroki Kondo

    2015 MRS Spring Meeting and Exhibit  2015.4 

     More details

    Language:English  

    Country:Other  

  • High-density nitrogen plasma source for growing high In content InGaN by plasma-assisted MBE

    Hiroki Kondo, Masaru Hori, Hirosi Amano, Nagoya Univ

    CONFERENCE 9363  2015.2 

     More details

    Language:English  

    Country:Other  

    High-density nitrogen plasma source for growing high In content InGaN by plasma-assisted MBE

  • Selective and oriented growth of carbon nanowalls by plasma-enhanced chemical vapor deposition

    H.Kondo

    The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials(19th-23rd 2015,Slovenia)  2015.1 

     More details

    Language:English  

    Country:Other  

    Selective and oriented growth of carbon nanowalls by plasma-enhanced chemical vapor deposition

  • カーボンナノウォールの構造制御とナノバイオ応用 International conference

    近藤博基, 堀勝, 平松美根男, 名古屋大学大学院工学研究科付属プラズマナノ工学研究センター, 名城大学理工

    仙台“プラズマフォーラム’’  2015.2 

     More details

    Language:Japanese  

    Venue:東北大学 大学院工学研究科 電子情報システム・応物系 1号館別館 451・453会議室   Country:Other  

  • ラジカル励起MOCVD法によるGaNホモエピタキシャル成長に関する研究 International conference

    岩本一希, 盧翌, 小田修, 近藤博基, 石川健治, 関根誠, 勝

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回)  2015.1 

     More details

    Language:Japanese  

    Venue:東レ研修センター   Country:Other  

  • 半導体ディバイス・プロセスの信頼性工学 International conference

    近藤博基

    第10回日本安全学教育研究会  2015.8 

     More details

    Language:Japanese  

    Country:Other  

  • 地域イノベーションプラットフォーム概略紹介 International conference

    近藤博基

    大気圧プラズマによる超高速・超機能化異種材料接合オープンプラットフォーム  2015.1 

     More details

    Language:Japanese  

    Country:Other  

  • 次世代窒化ガリウム系デバイスのためのラジカル励起MOCVDによるGaN-ヘテロエピタキシャル成長に関する研究 International conference

    盧翌, 岩本一希, 小田修, 石川健治, 近藤博基, 関根誠, 勝

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回)  2015.1 

     More details

    Language:Japanese  

    Venue:東レ研修センター   Country:Other  

  • A high temperature etching of GaN employing CH4/H2 gases, 06aP02

    T. Kako, Z. Liu, K. Ishikawa, K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    A high temperature etching of GaN employing CH4/H2 gases, 06aP02

  • AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響 International conference

    梁 思潔, 竹田 圭吾, 近藤 博基, 加納 浩之, 石川 健治, 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • AC励起アルコール液中プラズマにおけるナノグラフェン合成機構の解析 International conference

    安藤 睦, 小島 和晃, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠, 太田 貴之, 伊藤 昌文, 平松 美根男, 加納 浩之, 堀 勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • Advanced plasma-nano processes for controls of crystallographic and electrical properties of carbon nanomaterials

    Hiroki Kondo

    The International Symposium on Plasma-Nano Materials and Processes  2014.4 

     More details

    Language:English  

    Country:Other  

    Advanced plasma-nano processes for controls of crystallographic and electrical properties of carbon nanomaterials

  • Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals

    HIROKI KONDO, Hironao Shimoeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    14th International Conference on Plasma Surface Engineering  2014.9 

     More details

    Language:English  

    Country:Other  

    Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals

  • Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition

    2014.2 

     More details

    Language:English  

    Venue:Fukuoka, Japan   Country:Other  

  • Biosensing properties of carbon nanowalls grown using plasma enhanced CVD, 03pP51LN

    M. Tomatsu, R. Tsukada, M. Nagashima, M. Hiramastu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Biosensing properties of carbon nanowalls grown using plasma enhanced CVD, 03pP51LN

  • CH4/H2プラズマによるGaNの高温エッチング International conference

    加古隆, 劉沢セイ, 石川健治, 小田修, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(IV) International conference

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 田嶋聡美, 石川健治, 林俊雄, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • Carbon nanowalls: plasma synthesis and applications, 3C-WS-07

    M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Carbon nanowalls: plasma synthesis and applications, 3C-WS-07

  • Charactaristics of AC excited Non-equilibrium Atomospheric Pressure Helium Plasma Jet for Medical Application

    K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, H. Kano, Y. Nakai, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine  2014.5 

     More details

    Language:English  

    Country:Other  

    Charactaristics of AC excited Non-equilibrium Atomospheric Pressure Helium Plasma Jet for Medical Application

  • Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas, 5A-PM-01

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas, 5A-PM-01

  • Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Language:English  

    Country:Other  

    Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

  • Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation, 03pD08O

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation, 03pD08O

  • Controlled Synthesis of Carbon Nanomaterials Employing Plasma-Enhanced Chemical Vapor Deposition for Future Green Energy Applications

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    IUMRS-ICA 2014  2014.8 

     More details

    Language:English  

    Country:Other  

    Controlled Synthesis of Carbon Nanomaterials Employing Plasma-Enhanced Chemical Vapor Deposition for Future Green Energy Applications

  • Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma

    Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    67th Annual Gaseous Electronics Conference  2014.11 

     More details

    Language:English  

    Country:Other  

    Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma

  • Dawning of Plasma Life Sciences ~ Diagnostics and Control of Reactive Species in Plasma Bio Processing ~

    Masaru Hori, Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito, Hiroyuki Kano

    The 4th International Symposium for Plasma Biosciences (ISPB 2014)  2014.8 

     More details

    Language:English  

    Country:Other  

    Dawning of Plasma Life Sciences ~ Diagnostics and Control of Reactive Species in Plasma Bio Processing ~

  • Diagnostic studies for synthesis of Al doped ZnO film by magnetron sputtering

    Jun Suck Lee, JayBum Kim, Su B. Jin, B.B. Sahu, Jeon G. Han, H. Kondo, M. Hori

    14th International Conference on Plasma Surface Engineering  2014.9 

     More details

    Language:English  

    Country:Other  

    Diagnostic studies for synthesis of Al doped ZnO film by magnetron sputtering

  • Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Applications

    Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Language:English  

    Country:Other  

    Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Applications

  • Diagnostics of SiH4/H2 Plasma and Surface Reaction in Microcrystalline Silicon Deposition

    Kenji Ishikawa, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The International Conference on Metallurgical Coatings and Thin Films (ICMCTF)  2014.4 

     More details

    Language:English  

    Country:Other  

    Diagnostics of SiH4/H2 Plasma and Surface Reaction in Microcrystalline Silicon Deposition

  • Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet (Poster), 03pP15

    S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet (Poster), 03pP15

  • Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma, 06aP12

    J. Jolibois, J. Kularatne, H. Kondo, M. Ito, H. Kano, K. Ishikawa, M. Hiramatsu, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma, 06aP12

  • Effect of Gas Flow Rate on Crystalline Structures of Amorphous Carbon Films Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition, 05pP34

    D. Xu, L. Jia, M. Nakamura, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Effect of Gas Flow Rate on Crystalline Structures of Amorphous Carbon Films Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition, 05pP34

  • Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process, 4B-PM-03

    M. Sekine, Y. ZhangTakeuchi, H. Nagano, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process, 4B-PM-03

  • Effect of Power supply on metal emission intensity induced by non-equilibium atmospheric pressure plasma, 6P-PM-S09-P12

    J. Kularatne, J. Jolibois, Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Effect of Power supply on metal emission intensity induced by non-equilibium atmospheric pressure plasma, 6P-PM-S09-P12

  • Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma, 4P-PM-SPD-P05

    H. Kondo, L. Jia, D. Xu, M. Nakamura, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma, 4P-PM-SPD-P05

  • Effects of Low RF Bias Power on Optoelectrical Properties of Amorphous Carbon Films Grown byPlasma-Enhanced Chemical Vapor Deposition, 05pP71

    M. Nakamura, L. Jia, D. Xu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Effects of Low RF Bias Power on Optoelectrical Properties of Amorphous Carbon Films Grown byPlasma-Enhanced Chemical Vapor Deposition, 05pP71

  • Effects of residence time on electronic and electricalthe optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition, 05pP72

    L. Jia, D. Xu, M. Nakamura, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Effects of residence time on electronic and electricalthe optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition, 05pP72

  • Electro catalytic properties of Pt-supported nanographene synthesis using in-liquid plasma, 05aB06O

    T. Amano, K. Takeda, H. Kondo, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Electro catalytic properties of Pt-supported nanographene synthesis using in-liquid plasma, 05aB06O

  • Electron Spin Resonance Study of Plasma-Activated-Medium

    K. Ishikawa, N. Kurake, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, M. Hori

    The 5th International Conference on Plasma Medicine (ICPM5)  2014.5 

     More details

    Language:English  

    Country:Other  

    Electron Spin Resonance Study of Plasma-Activated-Medium

  • Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine  2014.5 

     More details

    Language:English  

    Country:Other  

    Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas

  • Electron spin Resonance Study of Plasma-Activated-Media (PAM)

    Masaru Hori, Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine

    2014 MRS Fall Meeting & Exhibit  2014.11 

     More details

    Language:English  

    Country:Other  

    Electron spin Resonance Study of Plasma-Activated-Media (PAM)

  • Electron spin resonance study of radical generation during non-thermal plasma blood coagulation

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014)  2014.3 

     More details

    Language:English  

    Country:Other  

    Electron spin resonance study of radical generation during non-thermal plasma blood coagulation

  • Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma, 3B-WS-07

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation"  2014.2 

     More details

    Language:English  

    Country:Other  

    Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma, 3B-WS-07

  • Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas, 3B-WS-07

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas, 3B-WS-07

  • Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma, 04pP07

    J. Kularatne, T. Ohta, J. Jolibois, M. Ito, H. Takemura, H. Kano, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma, 04pP07

  • Fabrication of graphene films on Ni layer by microwave plasma-enhanced CVD, 03pP38

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Fabrication of graphene films on Ni layer by microwave plasma-enhanced CVD, 03pP38

  • Fabrication of graphene films using microwave plasma-enhanced CVD in surface wave mode, 6P-AM-S08-P28

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Fabrication of graphene films using microwave plasma-enhanced CVD in surface wave mode, 6P-AM-S08-P28

  • GaN etching at high temperature employing N2 added Cl2 Plasma, 06aP04

    Z. Liu, T. Kako, K. Ishikawa, O. Oda, K. Takeda, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    GaN etching at high temperature employing N2 added Cl2 Plasma, 06aP04

  • Growth Mechanism of Single-Walled Carbon Nanotubes from Pt Catalysts by Alcohol Catalytic CVD

    Takahiro Maruyama, Hiroki Kondo, Akinari Kozawa, Takahiro Saida, Shigeya Naritsuka, Sumio Iijima

    2014 MRS Fall Meeting & Exhibit  2014.11 

     More details

    Language:English  

    Country:Other  

    Growth Mechanism of Single-Walled Carbon Nanotubes from Pt Catalysts by Alcohol Catalytic CVD

  • Growth of graphene-based films using aftergrow of inductively coupled plasma

    Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    67th Annual Gaseous Electronics Conference  2014.11 

     More details

    Language:English  

    Country:Other  

    Growth of graphene-based films using aftergrow of inductively coupled plasma

  • High Density Radical Source

    Y. Kiheda, H. Kano, H. Kondo, M. Hori, H. Amano, M. Hiramatsu

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Language:English  

    Country:Other  

    High Density Radical Source

  • In situ analysis of the surface reactions in PE-ALD SiO2 films for advanced litho applications

    Masaru Hori, Hiroki Kondo

    14th International Conference on Atomic Layer Deposition (ALD 2014)  2014.6 

     More details

    Language:English  

    Country:Other  

    In situ analysis of the surface reactions in PE-ALD SiO2 films for advanced litho applications

  • Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

    Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Plasma Processing Science, Gordon Research Conference  2014.7 

     More details

    Language:English  

    Country:Other  

    Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

  • Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process, 6P-PM-S09-P11

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, M. Hiramatsu, H. Kondo, K. Ishikawa, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process, 6P-PM-S09-P11

  • Live demonstration: A CMOS sensor platform with 1.2 μm × 2.05 μm electroless-plated 1024 × 1024 microelectrode array for high-sensitivity rapid direct bacteria counting

    2014.12 

     More details

    Language:English  

    Country:Other  

  • Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low CoherenceInterferometer, 03pP29

    T. Tsutsu, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low CoherenceInterferometer, 03pP29

  • Mechanism of Generating Active Species and Etch Reaction in CHxFy Plasma, 06aP03

    Y. Kondo, Y. Miyawaki, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Mechanism of Generating Active Species and Etch Reaction in CHxFy Plasma, 06aP03

  • Mechanism of Surface Roughness of ArF Photoresist During HBr PlasmaEtching Processes (2) International conference

    2014.3 

     More details

    Language:Japanese  

    Country:Other  

    Mechanism of Surface Roughness of ArF Photoresist During HBr PlasmaEtching Processes (2)

  • Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

    Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Language:English  

    Country:Other  

    Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

  • Nano-Bio applications of carbon-nano materials synthesized and modified by plasma

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Language:English  

    Country:Other  

    Nano-Bio applications of carbon-nano materials synthesized and modified by plasma

  • Nucleation control of carbon nanowalls using plasma-enhanced CVD with re-duced ion bombardment, 03pP42

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Nucleation control of carbon nanowalls using plasma-enhanced CVD with re-duced ion bombardment, 03pP42

  • Nucleation control of carbon nanowalls for device application, 5P-PM-S08-P09

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Nucleation control of carbon nanowalls for device application, 5P-PM-S08-P09

  • Observation of Dangling Bonds in Silicon Nitride Films during Exposure of F Atoms At Down-Flow of CF4 Plsmas Using Real-time / in-situ Electron Spin Resonance, 06aB02O

    Y. Miyawaki, K. Ishikawa, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Observation of Dangling Bonds in Silicon Nitride Films during Exposure of F Atoms At Down-Flow of CF4 Plsmas Using Real-time / in-situ Electron Spin Resonance, 06aB02O

  • Plasma Induced Surface Roughness of Polymeric Materials

    K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Language:English  

    Country:Other  

    Plasma Induced Surface Roughness of Polymeric Materials

  • Plasma nano-interface with organic materials for surface-roughness formation

    M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    The 9th EU-Japan Joint Symposium on Plasma Processing  2014.1 

     More details

    Language:English  

    Country:Other  

    Plasma nano-interface with organic materials for surface-roughness formation

  • Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2014.7 

     More details

    Language:English  

    Country:Other  

    Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

  • Plasma-Enhanced Synthesis and Edge-Modification of Carbon Nanowalls for Nano-Bio Applications

    Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    2014 MRS Fall Meeting & Exhibit  2014.11 

     More details

    Language:English  

    Country:Other  

    Plasma-Enhanced Synthesis and Edge-Modification of Carbon Nanowalls for Nano-Bio Applications

  • Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas, 5B-PM-02

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing,Fukuoka Convention Center  2014.2 

     More details

    Language:English  

    Country:Other  

    Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas, 5B-PM-02

  • Recovery of Plasma-induced Damage in GaN by In situ Radical Exposure , 6P-AM-S06-P18

    M. Sekine, Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014.2 

     More details

    Language:English  

    Country:Other  

    Recovery of Plasma-induced Damage in GaN by In situ Radical Exposure , 6P-AM-S06-P18

  • Silicon thin film solar cells fabricated by H radical injection plasma, 05pP49

    K. Miwa, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Silicon thin film solar cells fabricated by H radical injection plasma, 05pP49

  • Spectroscopic analysis of gas-liquid plasma for nanographene synthesis, 06aD03O

    A. Ando, T. Takeda, H. Kondo, K. Ishikawa, M. Sekine, T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Spectroscopic analysis of gas-liquid plasma for nanographene synthesis, 06aD03O

  • Study on Reaction Mechanism of Plasma-Enhanced Atomic Layer Deposition of SiO2 Films by In-Situ Fourier Transform Infrared Spectroscopy

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    14th International Conference on Atomic Layer Deposition (ALD 2014)  2014.6 

     More details

    Language:English  

    Country:Other  

    Study on Reaction Mechanism of Plasma-Enhanced Atomic Layer Deposition of SiO2 Films by In-Situ Fourier Transform Infrared Spectroscopy

  • Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth, 03pC12O

    Y. Lu, K. Ishikawa, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth, 03pC12O

  • Surface modification of carbon nanowalls for their nano-bio applications

    Masaru Hori, HIROKI KONDO, Mineo Hiramatsu

    14th International Conference on Plasma Surface Engineering  2014.9 

     More details

    Language:English  

    Country:Other  

    Surface modification of carbon nanowalls for their nano-bio applications

  • Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma

    Hiroki Kondo, Tomoaki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Masafumi Ito, Mineo Hiramatsu

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014)  2014.7 

     More details

    Language:English  

    Country:Other  

    Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma

  • Synthesis of nanographene/Pt composite using alcohol in-liquid plasma, 04pP72LN

    K. Yoshida, M. Ito, M. Hiramatsu, T. Saida, H. Kano, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014.3 

     More details

    Language:English  

    Country:Other  

    Synthesis of nanographene/Pt composite using alcohol in-liquid plasma, 04pP72LN

  • Temporal Changes in H and N Atom Densities in Plasmas Caused by Reactor Surface Modifications

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2014.7 

     More details

    Language:English  

    Country:Other  

    Temporal Changes in H and N Atom Densities in Plasmas Caused by Reactor Surface Modifications

  • カーボンナノウォールの結晶構造に対するラジカル酸化効果(Ⅲ) International conference

    近藤博基, 下枝弘尚, 石川健治, 平松美根男, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • プラズマCVDによって形成したカーボンナノウォールを用いた燃料電池の作製

    大慶 亮佑, 岩本 翔太, 太田 貴之, 伊藤 昌文, 平松 美根男, 近藤 博基, 堀 勝

    第75回秋季応用物理学会学術講演会  2014.9 

     More details

    Language:Japanese  

    Venue:北海道大学   Country:Other  

  • プラズマプロセス中のポリメタクリル酸メチル(PMMA)表面の実時間・その場電子スピン共鳴法による反応解析 International conference

    宮脇雄大, 王浩然, 石川健治, 近藤祐介, 竹田圭吾, 近藤博基, 堀邊英夫, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • プラズマ光と表面の相互作用の解明 International conference

    張 彦, 石川 健治, 関根 誠, 深沢 正永, 長畑 和典, 冨谷 茂隆, 辰巳 哲也, 竹田 圭吾, 近藤 博基, 堀 勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • プラズマ照射した食肉血液成分の電子スピン共鳴解析 International conference

    坂倉崚亮, 石川健治, 田中宏昌, 橋爪博司, 太田貴之, 伊藤昌文, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • プラズマ誘起ナノプロセスの進展と放射光実験への期待 International conference

    近藤博基, 堀勝

    平成26年度前期短期研究会「真空紫外・軟X戦放射光物性研究のパラダイムシフトに向けて」  2014.9 

     More details

    Language:Japanese  

    Venue:東京大学物性研究所   Country:Other  

  • プロセス履歴のチャンバー壁表面損失確率への影響とH2/N2プラズマ中のラジカル密度の時間変化 International conference

    鈴木俊哉, 福永裕介, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断 International conference

    熊倉匠, 竹田圭吾, 石川健治, 田中宏昌, 近藤博基, 加納浩之, 中井義浩, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • 大気圧プラズマ化学気相堆積法による超撥水薄膜の形成 International conference

    孫昿達, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析 International conference

    倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • 液中プラズマで合成したナノグラフェンを用いた燃料電池用電極の安定性 International conference

    天野智貴, 近藤博基, 竹田圭吾, 石川健治, 太田貴之, 伊藤昌文, 平松美根男, 加納浩之, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • 非接触基板温度計測システムを用いたプラズマプロセス中の基板温度および熱流速計測 International conference

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • 高密度窒素ラジカル源を用いたRF-MBE法による(111)Si基板上GaNナノワイヤ高速成長 International conference

    堤裕理, 水谷駿介, 木津良祐, 近藤博基, 堀勝, 本田善央, 天野浩

    日本結晶成長学会 ナノ構造・エピタキシャル成長分科会 2014春季講演会 第6回 窒化物半導体結晶成長講演会  2014.7 

     More details

    Language:Japanese  

    Venue:名城大学天白キャンパス   Country:Other  

  • 高温における窒化ガリウム(GaN)のエッチング機構(4) International conference

    劉沢セイ,加古隆, 石川健治, 小田 修, 竹田圭吾, 近藤博基, 関根 誠, 堀勝

    第61回応用物理学会春季学術講演会  2014.3 

     More details

    Language:Japanese  

    Venue:青山学院大学相模原キャンパス   Country:Other  

  • AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測 International conference

    梁思潔, 孫昿達, 竹田圭吾, 近藤博基, 加納浩之, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, H. Kondo, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching

  • Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma

    Masaru Hori, K. Takeda, H. Kondo, K. Ishikawa, M.Sekine

    The 1st International Conference on Surface Engineering (ICSE2013)  2013.11 

     More details

    Language:English  

    Country:Other  

    Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma

  • AlGaNのプラズマエッチング機構に関する研究 International conference

    曹佳棟, 米谷亮佑, 盧翌, 朴鐘胤, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure International conference

    2013.9 

     More details

    Language:English  

    Country:Other  

    An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure

  • Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance

    Y. Miyawaki, H. Wang, Y. Kondo, K. Ishikawa, K. Takeda, S. Tajima, H. Kondo, M. Sekine, H. Horibe, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013.8 

     More details

    Language:English  

    Country:Other  

    Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance

  • Application of atmospheric pressure plasma in element analysis of agricultural products

    J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Application of atmospheric pressure plasma in element analysis of agricultural products

  • CH4 /H2 plasma etching on gallium nitride at high temperature International conference

    Takashi Kako, Ze-cheng Liu, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    2013.9 

     More details

    Language:English  

    Country:Other  

    CH4 /H2 plasma etching on gallium nitride at high temperature

  • CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(III) International conference

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 林俊雄, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学 京田辺キャンパス   Country:Other  

  • CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(II) International conference

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 林俊雄, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • Carbon Nanowalls: Growth Control and their Clean Applications

    M. Hiramatsu, H. Kondo, M. Hori

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology  2013.12 

     More details

    Language:English  

    Country:Other  

    Carbon Nanowalls: Growth Control and their Clean Applications

  • Carbon nanowalls: synthesis and application

    M. Hiramatsu, H. Kondo, M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013)  2013.11 

     More details

    Language:English  

    Country:Other  

    Carbon nanowalls: synthesis and application

  • Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma

    Y. Abe, A. Fukushima, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma

  • Cell-culturing scaffold application of carbon nanowall(CNW)

    M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba

    XXXI International Conference on Phenomena in Ionized Gases  2013.7 

     More details

    Language:English  

    Country:Other  

    Cell-culturing scaffold application of carbon nanowall(CNW)

  • Chemical modification and nano-bio applications of carbon nanowalls

    Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2013.5 

     More details

    Language:English  

    Country:Other  

    Chemical modification and nano-bio applications of carbon nanowalls

  • Chlorine plasma etching of GaN at high temperature

    R. Kometani, L.Michael, K. Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M.Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013.2 

     More details

    Language:English  

    Country:Other  

    Chlorine plasma etching of GaN at high temperature

  • Construction of atmospheric pressure mist chemical vapor deposition technology for the all-printed electronic technology

    K. Sun, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, M. Sekine, M. Hori, H. Itoh

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Construction of atmospheric pressure mist chemical vapor deposition technology for the all-printed electronic technology

  • Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013.8 

     More details

    Language:English  

    Country:Other  

    Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases

  • Crystallographic and chemical modification of carbon nanowalls by radical oxidation

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS (AAPC12)  2013.7 

     More details

    Language:English  

    Country:Other  

    Crystallographic and chemical modification of carbon nanowalls by radical oxidation

  • Crystallographic and electrical properties of vertically-grown graphene sheets by CH4/H2 plasma

    H. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Crystallographic and electrical properties of vertically-grown graphene sheets by CH4/H2 plasma

  • Detection of metal elements in soil using atmospheric pressure plasma

    J. Kularatne, J. Jolibois, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS (AAPC12)  2013.7 

     More details

    Language:English  

    Country:Other  

    Detection of metal elements in soil using atmospheric pressure plasma

  • Effect of Ar gas addition on photoconductive characteristics of amorphous carbon films synthesized by plasma-enhanced chemicalvapor deposition

    L. Yu, J. Kuki, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Effect of Ar gas addition on photoconductive characteristics of amorphous carbon films synthesized by plasma-enhanced chemicalvapor deposition

  • Effect of Hexane on the synthesis of nanographene using liquid plasma

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS (AAPC12)  2013.7 

     More details

    Language:English  

    Country:Other  

    Effect of Hexane on the synthesis of nanographene using liquid plasma

  • Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013.8 

     More details

    Language:English  

    Country:Other  

    Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma

  • Effect of boron doping on amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

    Lingyun Jia, Jun Kuki, Leyong Yu, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2013.5 

     More details

    Language:English  

    Country:Other  

    Effect of boron doping on amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

  • Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

    J. Kuki, L. Yu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

  • Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique

    T. Tsutsumi, K Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M.Hori

    XXXI International Conference on Phenomena in Ionized Gases  2013.7 

     More details

    Language:English  

    Country:Other  

    Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique

  • Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M.Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Language:English  

    Country:Other  

    Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls

  • Effects of carbon nanowall scaffold and their chemical termination on cell culturing

    H. Watanabe, H. Kondo, Y. Okamoto, M. Sekine, M. Hiramatsu, Y. Baba, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Effects of carbon nanowall scaffold and their chemical termination on cell culturing

  • Effects of hydrogen contained in fluorocarbon gas molecules fordielectric film etching process

    Y. Kondo, Y. Miyawaki, K. Takeda, K.Ishikawa, H. Kondo, T. Hayashi, M. Sekine, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013.2 

     More details

    Language:English  

    Country:Other  

    Effects of hydrogen contained in fluorocarbon gas molecules fordielectric film etching process

  • Effects of morphological changes induced by hydrogen peroxide treatment on electrical properties of carbon nanowalls

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Effects of morphological changes induced by hydrogen peroxide treatment on electrical properties of carbon nanowalls

  • Electrical properties of microcrystalline silicon thin films deposited by employing hydrogen radical-injection plasma-enhanced CVD method International conference

    Keita Miwa, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2013.9 

     More details

    Language:English  

    Country:Other  

    Electrical properties of microcrystalline silicon thin films deposited by employing hydrogen radical-injection plasma-enhanced CVD method

  • Electron spin resonance study of plasma-biological surface interaction for food hygiene

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Electron spin resonance study of plasma-biological surface interaction for food hygiene

  • Etching Characteristics of Gallium Nitride based compound semiconductors with Inductively Coupled Cl2 Plasma International conference

    Jia-dong Cao, Yi Lu, Jong-yun Park, Ze-cheng Liu, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2013.9 

     More details

    Language:English  

    Country:Other  

    Etching Characteristics of Gallium Nitride based compound semiconductors with Inductively Coupled Cl2 Plasma

  • Etching Characterristics of AlGaN and GaN in Inductively Coupled Cl2 Prasma

    D. Cao, Y. Lu, R. Kometani, J. Park, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition  2013.10 

     More details

    Language:English  

    Country:Other  

    Etching Characterristics of AlGaN and GaN in Inductively Coupled Cl2 Prasma

  • Etching characteristics of AlGaN in inductively coupled Cl2 plasmas

    J.-D. Cao, Y. Lu, R. Kometani, J. -Y. Park, K. Ishikawa, K. Takeda, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Language:English  

    Country:Other  

    Etching characteristics of AlGaN in inductively coupled Cl2 plasmas

  • Formation and characteristics of Pt-Au nanoparticles supported on carbon nanowalls (CNWs) employing a supercritical fluid

    T. Horibe, H. Kondo, H. Kano, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Formation and characteristics of Pt-Au nanoparticles supported on carbon nanowalls (CNWs) employing a supercritical fluid

  • Gas-phase reaction model of Ar-diluted CHxFy plasmas

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Gas-phase reaction model of Ar-diluted CHxFy plasmas

  • Gradual transition of chemical structures at initial growth stage of carbon nanowalls

    H. Kondo, K. Yasuda, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition  2013.9 

     More details

    Language:English  

    Country:Other  

    Gradual transition of chemical structures at initial growth stage of carbon nanowalls

  • H2/N2プラズマ中のラジカル密度へ前のプロセスが与える影響とその制御 International conference

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • H2/N2プラズマ中のラジカル密度へ前のプロセスが与える影響とその制御 International conference

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013.1 

     More details

    Language:Japanese  

    Venue:神奈川工科大学   Country:Other  

  • High H radical density produced by 1-m-length atmospheric pressure microwave plasma system

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    High H radical density produced by 1-m-length atmospheric pressure microwave plasma system

  • High Temperature Etching of GaN Preserving Smooth and Stoichiometric GaN Surface

    R. Kometani, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition  2013.10 

     More details

    Language:English  

    Country:Other  

    High Temperature Etching of GaN Preserving Smooth and Stoichiometric GaN Surface

  • High temperature plasma etching of GaN

    R. Kometani, S. Chen, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    High temperature plasma etching of GaN

  • Hydrogen radical injection plasma deposition of (110)-preferentially oriented microcrystalline silicon films

    L. Ya, A. Fukushima, Y. Abe, Y. Kim, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Hydrogen radical injection plasma deposition of (110)-preferentially oriented microcrystalline silicon films

  • Hydrogen radical-injection plasma fabricated microcrystalline silicon thin film for solar cells

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine

    21th International Symposium on Plasma Chemistry (ISPC)  2013.8 

     More details

    Language:English  

    Country:Other  

    Hydrogen radical-injection plasma fabricated microcrystalline silicon thin film for solar cells

  • Identification of ESR signals arisen from Penicillium digitatum spores-3 International conference

    H Mizuno, K Ishikawa, H Tanaka, H Hashizume, T Ohta, M Ito, K Takeda, H Kondo, M Sekine, M Hori

    2013.3 

     More details

    Language:Japanese  

    Country:Other  

    Identification of ESR signals arisen from Penicillium digitatum spores-3

  • In situ Surface analysis of GaAs irradiated by Cl plasma beams International conference

    2013.3 

     More details

    Language:Japanese  

    Country:Other  

    In situ Surface analysis of GaAs irradiated by Cl plasma beams

  • In-situ ESR Measurements for Plasma Materials Interactions

    Kenji ISHIKAWA, Hiromasa TANAKA, Hiroshi HASHIZUME, Takayuki OHTA, Masafumi ITO, Keigo TAKEDA, Hiroki KONDO, Makoto SEKINE, Masaru HORI

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Language:English  

    Country:Other  

    In-situ ESR Measurements for Plasma Materials Interactions

  • In-situ ESR measurements for Plasma Materials Interractions

    Kenji Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    9th Asian-european International Conference On Plasma Surface Enginnering, Conference and Exhibition  2013.8 

     More details

    Language:English  

    Country:Other  

    In-situ ESR measurements for Plasma Materials Interractions

  • Influence of N2 inclusion to Ar AP plasma in atomization

    J. S.Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013.2 

     More details

    Language:English  

    Country:Other  

    Influence of N2 inclusion to Ar AP plasma in atomization

  • Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma

    M. Sekine, S. Amasaki, T. Takeuchi, K. Ishikawa, K. Takeda, H. Kondo, M.Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma

  • Low-damage, high-accuracy plasma etching of Ga-compound semiconductors

    J. Cao, R. Kometani, J. Park, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Low-damage, high-accuracy plasma etching of Ga-compound semiconductors

  • Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition

    K. Sun, K. Takeda, H. Itoh, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013.8 

     More details

    Language:English  

    Country:Other  

    Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition

  • Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry

  • Mechanism for degradation of porous SiOCH low-K films by O2 plasma

    K. Asano, K. Ishikawa, M. Sekine, K. Takeda, H. Kondo, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Mechanism for degradation of porous SiOCH low-K films by O2 plasma

  • Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing

    T. Ohta, T. Takayoshi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, M. Ito

    Dry Process Symposium 2013 (DPS2013)  2013.8 

     More details

    Language:English  

    Country:Other  

    Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing

  • Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry

    T. Tsutsumi, T. Ohta, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    AVS 60th International Symposium & Exhibition  2013.10 

     More details

    Language:English  

    Country:Other  

    Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry

  • Nonequilibrium atmospheric pressure plasma selectively killed ovarian cancer cells and induced apoptosis

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Nonequilibrium atmospheric pressure plasma selectively killed ovarian cancer cells and induced apoptosis

  • Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

    M. Hiramatsu, Y. Nihashi, H. Kondo, M. Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition  2013.9 

     More details

    Language:English  

    Country:Other  

    Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

  • Plasma Etching of Ga-based Compound Semiconductor

    J. Park, J. Cao, Z. Liu, T. Kako, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013.8 

     More details

    Language:English  

    Country:Other  

    Plasma Etching of Ga-based Compound Semiconductor

  • Plasma-biological surface interaction investigated by electron spin resonance

    H. Mizuno, K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Plasma-biological surface interaction investigated by electron spin resonance

  • Plasma-mediated modulation of element distribution in skin and skin cancer

    I. Yajima, M. Iida, K. Nakagawa, H. Kondo, M. Kumasaka, K. Takeda, M. Hori, H. Kano, M. Kato

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Plasma-mediated modulation of element distribution in skin and skin cancer

  • Plasma-surface intertactions in plasma etching of future device fabrication

    K. Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T.Hayashi, M. Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Language:English  

    Country:Other  

    Plasma-surface intertactions in plasma etching of future device fabrication

  • Plasma-surface intertactions in plasma etching of future device fabrication

    K. Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T.Hayashi, M. Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Language:English  

    Country:Other  

    Plasma-surface intertactions in plasma etching of future device fabrication

  • PlasmaInduced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes

    T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori

    AVS 60th International Symposium & Exhibition  2013.10 

     More details

    Language:English  

    Country:Other  

    PlasmaInduced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes

  • Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Language:English  

    Country:Other  

    Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy

  • Rapid precise measurements of film-covered-substrate temperatures during plasma processes

    M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013)  2013.11 

     More details

    Language:English  

    Country:Other  

    Rapid precise measurements of film-covered-substrate temperatures during plasma processes

  • Reaction Mechanism at the Sidewall of Through Si via (TSV) Etching by SF6/O2/SiF4 Plasma

    I. Sakai, S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, N. Sakurai, H. Hayashi, T. Ohiwa, M. Hori

    AVS 60th International Symposium & Exhibition  2013.10 

     More details

    Language:English  

    Country:Other  

    Reaction Mechanism at the Sidewall of Through Si via (TSV) Etching by SF6/O2/SiF4 Plasma

  • Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes

  • Real-time / In-situ Electron Spin Resonance Analysis of Chemical Reactions on Silicon-Nitride with CF4 Gas Plasma International conference

    2013.9 

     More details

    Language:English  

    Country:Other  

    Real-time / In-situ Electron Spin Resonance Analysis of Chemical Reactions on Silicon-Nitride with CF4 Gas Plasma

  • Real-time / in-situ electron spin resonance analysis of plasma surface interactions

    H. Wang, K. Ishikawa, H. Horibe, K. Takeda, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Language:English  

    Country:Other  

    Real-time / in-situ electron spin resonance analysis of plasma surface interactions

  • Real-time variation of sputtering yield of Ar ion for ArF photoresist during Ar plasma exposure

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Real-time variation of sputtering yield of Ar ion for ArF photoresist during Ar plasma exposure

  • Recovery of Plasma-Damaged GaN by in situ Radical Exposure

    Makoto Sekine, Zecheng Liu, Shang Chen, Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10)  2013.8 

     More details

    Language:English  

    Country:Other  

    Recovery of Plasma-Damaged GaN by in situ Radical Exposure

  • Reduction of Mechanism of Surface Roughness on ArF-Photoresist Using C5HF7 Gas Plasma

    Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, A. Ito, H. Matsumoto, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013)  2013.9 

     More details

    Language:English  

    Country:Other  

    Reduction of Mechanism of Surface Roughness on ArF-Photoresist Using C5HF7 Gas Plasma

  • Reduction of surface roughness on ArF-photoresist by C5HF7 gas plasma

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2013.5 

     More details

    Language:English  

    Country:Other  

    Reduction of surface roughness on ArF-photoresist by C5HF7 gas plasma

  • Relation between gaseous radicals and μc-Si film property in SiH4/H2 plasma CVD

    2013.1 

     More details

    Language:English  

    Venue:Nagoya University, Japan   Country:Other  

  • Sequential exposure of N and H atoms for recovery of plasma-damaged GaN

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Language:English  

    Country:Other  

    Sequential exposure of N and H atoms for recovery of plasma-damaged GaN

▼display all

MISC

  • Surface potential control of carbon nanowalls template for highly efficient exosome analysis

    橋本拓海, 近藤博基, 田中宏昌, 石川健治, 堤隆嘉, 関根誠, 安井隆雄, 馬場嘉信, 平松美根男, 堀勝

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   69th   2022   ISSN:2436-7613

  • 大気圧プラズマ処理による異種材料接合

    近藤博基, 堤隆嘉, 石川健治, 関根 誠, 堀 勝

    化学工学(公益社団法人 化学工学会)   2018.9

     More details

    Language:Japanese  

  • Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma Reviewed

    Zecheng Liu, Kenji Ishikawa, Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018.6

     More details

    Language:English  

    DOI: 10.7567/JJAP.57.06JD01

  • Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018.6

     More details

    Language:English  

    DOI: 10.7567/JJAP.57.06JE03

  • Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes Reviewed

    Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, Masaru Hori

    Japanese Journal of Applied Physics   2018.6

     More details

    Language:English  

    DOI: 10.7567/JJAP.57.06JF01

  • Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine

    Tomoki Amano, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018.4

     More details

    Language:English  

    DOI: 10.7567/JJAP.57.040303

  • Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells

    Tomoki Amano, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018.4

     More details

    Language:English  

    DOI: 10.7567/JJAP.57.045101

  • Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori

    Clinical Plasma Medicine   2018.2

     More details

    Language:English  

    Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas

    DOI: 10.1016/j.cpme.2017.12.066

  • Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018.2

     More details

    Language:English  

    DOI: 10.7567/JJAP.57.026201

  • Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   2018.1

     More details

    Language:English  

    DOI: 10.7567/APEX.11.015102

  • Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy

    Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2017.12

     More details

    Language:English  

    DOI: 10.1063/1.4999256

  • Surface roughening of photoresist after change of the photon/radical and ion treatment sequence

    Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   2017.11

     More details

    Language:English  

    DOI: 10.1116/1.4994218

  • Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   2017.11

     More details

    Language:English  

    DOI: 10.1002/ppap.201700123

  • High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2017.10

     More details

    Language:English  

    DOI: 10.1088/1361-6463/aa8131

  • Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy

    Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    BIOINTERPHASES   2017.9

     More details

    Language:English  

    DOI: 10.1116/1.4997170

  • Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation

    Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2017.8

     More details

    Language:English  

    DOI: 10.7567/APEX.10.086502

  • Temperature dependence of protection layer formation on organic trench sidewall in H-2/N-2 plasma etching with control of substrate temperature

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2017.7

     More details

    Language:English  

    DOI: 10.7567/JJAP.56.076202

  • Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   2017.6

     More details

    Language:English  

    DOI: 10.1039/c7cp00489c

  • Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas

    Toshinari Ueyama, Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, Hideo Sugai, Masaru Hori

    Japanese Journal of Applied Physics   2017.6

     More details

    Language:English  

    DOI: 10.7567/JJAP.56.06HC03

  • Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 degrees C

    Shinnosuke Takai, Yi Lu, Osamu Oda, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2017.6

     More details

    Language:English  

    DOI: 10.7567/JJAP.56.06HE08

  • Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

    Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Masaru Hori

    Japanese Journal of Applied Physics   2017.6

     More details

    Language:English  

    DOI: 10.7567/JJAP.56.06HF03

  • 電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断

    石川健治, 近藤隆, 竹田圭吾, 呉準席, 橋爪博司, 田中宏昌, 近藤博基, 太田貴之, 伊藤昌文, 関根誠 堀勝

    プラズマ・核融合学会誌   2017.5

     More details

    Language:Japanese  

  • Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas

    Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2017.3

     More details

    Language:English  

    DOI: 10.1088/1361-6463/aa60f7

  • Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes

    Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, Masaru Hori

    Japanese Journal of Applied Physics   2017.3

     More details

    Language:English  

    DOI: 10.7567/JJAP.56.035101

  • Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl2 plasma etching of GaN

    Zecheng Liu, Jialin Pan, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2017.2

     More details

    Language:English  

    DOI: 10.7567/JJAP.56.026502

  • Atomic layer etching of SiO2 by alternating an O-2 plasma with fluorocarbon film deposition

    Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   2017.1

     More details

    Language:English  

    DOI: 10.1116/1.4971171

  • Absolute density of precursor SiH3 radicals and H atoms in H-2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films

    Yusuke Abe, Kenji Ishikawa, Keigo Takeda, Takayoshi Tsutsumi, Atsushi Fukushima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   2017.1

     More details

    Language:English  

    DOI: 10.1063/1.4974821

  • ラジカル支援原子層制御ナノプロセス (小特集 原子を積んで膜をつくる : ALDプロセスの化学工学的展開)

    石川 健治, 小林 明子, 盧 翌, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝

    化学工学   2016.7

     More details

    Language:Japanese  

  • Plasma with high electron density and plasma-activated medium for cancer treatment

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shin'ichi Akiyama, Shoichi Maruyama, Suguru Yamada, Yasuhiro Kodera, Hiroki Kaneko, Hiroko Terasaki, Hirokazu Hara, Tetsuo Adachi, Machiko Iida, Ichiro Yajima, Masashi Kato, Fumitaka Kikkawa, Masaru Hori

    Clinical Plasma Medicine   2015.12

     More details

    Language:English  

    DOI: 10.1016/j.cpme.2015.09.001

  • フォトリソグラフィとUVキュア処理によるナノギャップ電極形成

    粂内 真子, 熊谷 慎也, 趙 享峻, 今井 駿, 近藤 博基, 石川 健治, 堀 勝, 佐々木 実

    「センサ・マイクロマシンと応用システム」シンポジウム論文集 電気学会センサ・マイクロマシン部門 [編]   2015.10

     More details

    Language:Japanese  

  • シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動

    堀勝, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 韓銓建

    プラズマ・核融合学会誌   2015.5

     More details

    Language:Japanese  

  • Carbon nanowall scaffold to control culturing of cervical cancer cells Reviewed

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    APPLIED PHYSICS LETTERS   2014.12

     More details

    Language:English  

    DOI: 10.1063/1.4902054

  • Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control Reviewed

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2014.10

     More details

    Language:English  

    DOI: 10.1088/0022-3727/47/42/422002

  • Temporal changes in absolute atom densities in H-2 and N-2 mixture gas plasmas by surface modifications of reactor wall Reviewed

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Honi

    JAPANESE JOURNAL OF APPLIED PHYSICS   2014.5

     More details

    Language:English  

    DOI: 10.7567/JJAP.53.050301

  • Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O-2 non-equilibrium atmospheric-pressure plasma jet

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA SOURCES SCIENCE & TECHNOLOGY   2014.4

     More details

    Language:English  

    DOI: 10.1088/0963-0252/23/2/025004

  • Effects of Different Chamber-Wall Conditions on Temporal Changes of H and N Radicals Densities in H2 and N2 Mixture Gas Plasma

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys. 53 (5) (Apr 7, 2014) 050301   2014.4

     More details

    Language:English  

    Effects of Different Chamber-Wall Conditions on Temporal Changes of H and N Radicals Densities in H2 and N2 Mixture Gas Plasma

    DOI: 10.7567/JJAP.53.050301

  • Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls Reviewed

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Maseru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2014.4

     More details

    Language:English  

    DOI: 10.7567/JJAP.53.040307

  • Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N-2/H-2 excited plasma - effect of TMG flow rate and VHF power Reviewed

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JOURNAL OF CRYSTAL GROWTH   2014.4

     More details

    Language:English  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  • Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls Reviewed

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2014.4

     More details

    Language:English  

    DOI: 10.7567/APEX.7.046201

  • Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution Reviewed

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2014.4

     More details

    Language:English  

    DOI: 10.7567/JJAP.53.040305

  • Density control of carbon nanowalls grown by CH4/H-2 plasma and their electrical properties Reviewed

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hirarnatsu, Masaru Hori

    CARBON   2014.3

     More details

    Language:English  

    DOI: 10.1016/j.carbon.2013.11.014

  • Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C Reviewed

    2014.1

     More details

    Language:English  

    DOI: 10.7567/JJAP.53.010305

  • Field Emissions from Organic Nanorods Armored with Metal Nanoparticles

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013.12

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.120203

  • Effect of indirect nonequilibrium atmospheric pressure plasma on anti-proliferative activity against chronic chemo-resistant ovarian cancer cells in vitro and in vivo

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, Fumitaka Kikkawa

    PLoS ONE   2013.12

     More details

    Language:English  

    DOI: 10.1371/journal.pone.0081576

  • High H radical density produced by 1-m-long atmospheric pressure microwave plasma system

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, Masaru Hori

    Japanese Journal of Applied Physics   2013.11

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.11NE01

  • Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, Masafumi Ito

    APPLIED PHYSICS LETTERS   2013.10

     More details

    Language:English  

    DOI: 10.1063/1.4827426

  • Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2013.9

     More details

    Language:English  

    DOI: 10.7567/APEX.6.095201

  • Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    Hitoshi Watanabe, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Shailesh Kumar, Kostya Ostrikov, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   2013.7

     More details

    Language:English  

    DOI: 10.1002/ppap.201200141

  • Surface morphology on high-temperature plasma-etched gallium nitride

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Trans. Mater. Res. Soc. Jpn. 38 (2)   2013.6

     More details

    Language:English  

    Surface morphology on high-temperature plasma-etched gallium nitride
    We report the surface morphology of gallium nitride (GaN) films during the argon and nitrogen plasma etching at elevated temperatures up to 800°C. For Ar plasma at high substrate temperatures above 600°C, Ar+ ion bombardment dissociates Ga-N bonds by the preferential removal of nitrogen, which promotes roughness of the GaN surface by the aggregation of gallium atoms. In N2 plasma exposure, the N/Ga remains stoichiometric with higher values above 0.85, and the surface is not significantly roughened, even higher at 600°C. Therefore, the aggregation of metallic Ga induces surface roughening during ion-enhanced etching of GaN with elevated substrate temperatures.

    DOI: 10.14723/tmrsj.38.325

  • A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2013.5

     More details

    Language:English  

    DOI: 10.7567/APEX.6.056201

  • Supercritical Fluid Deposition of High-Density Nanoparticles of Photocatalytic TiO2 on Carbon Nanowalls

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS EXPRESS   2013.4

     More details

    Language:English  

    DOI: 10.7567/APEX.6.045103

  • Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma

    Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2013.3

     More details

    Language:English  

    DOI: 10.1088/0022-3727/46/10/102001

  • Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    Chapter 9 in Book "New Progress on Graphene Research"   2013.3

     More details

    Language:English  

    Graphene Nanowalls

    DOI: 10.5772/3358

  • I-1-2 高密度ラジカル源を用いた分子線エピタキシー法によるIII族窒化物エピタキシャル成長(窒化物半導体デバイスの精密加工プロセス-窒化物LEDに関わる先端デバイスプロセシング-,口頭発表)

    河合 洋次郎, 本田 善央, 山口 雅史, 天野 浩, 近藤 博基, 平松 美根男, 加納 浩之, 山川 晃司, 田 昭治, 堀 勝

    IIP情報・知能・精密機器部門講演会講演論文集   2013.3

     More details

    Language:Japanese  

    Although plasma-assisted molecular beam epitaxy(PA-MBE) is a promising technique for GaN growth,the growth rate obtained by this technique is lower than that obtained by metal organic vapor phase epitaxy(MOVPE).In order to improve the growth rate of the technique,high density radical source(HDRS) was developed.By vacuum ultraviolet absorption spectroscopy(VUVAS) measurement,two orders of magnitude higher radical density was confirmed in a comparison of the HDRS and a conventional radical source(CRS).While faster growth rate of 1.4μm/h in GaN homoepitaxy was achieved,better crystalline quality of InxGa_<(1-x)>N(x=0.03~0.16) epilayers with approximately 1.4μm thickness were also achieved by introducing the HDRS in PA-MBE.

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013.2

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.020204

  • Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013.2

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.021001

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013.2

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.020204

  • Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2013.1

     More details

    Language:English  

    DOI: 10.1063/1.4773104

  • Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2013.1

     More details

    Language:English  

    DOI: 10.1063/1.4772996

  • Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition

    Mineo Hiramatsu, Masateru Naito, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013.1

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.01AK04

  • Highly selective etching of SiO2 over Si3N 4 and Si in capacitively coupled plasma employing C 5HF7 gas

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Atsuyo Yamazaki, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    Japanese Journal of Applied Physics   2013.1

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.016201

  • Highly selective etching of SiO2 over Si3N 4 and Si in capacitively coupled plasma employing C 5HF7 gas

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Atsuyo Yamazaki, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    Japanese Journal of Applied Physics   2013.1

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.016201

  • Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2013.1

     More details

    Language:English  

    DOI: 10.1063/1.4778608

  • Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013.1

     More details

    Language:English  

    DOI: 10.7567/JJAP.52.01AK05

  • Individual Roles of Atoms and Ions during Hydrogen Plasma Passivation of Surface Defects on GaN Created by Plasma Etching

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2012.11

     More details

    Language:English  

    DOI: 10.1143/JJAP.51.111002

  • GRAPHENE FOREST DEVICES AS CELL SCAFFOLDS FOR STEM CELLS Reviewed

    Yukihiro Okamoto, Hitoshi Watanabe, Kazutoshi Kubo, Hiroki Kondo, Noritada Kaji, Manabu Tokeshi, Masaru Hori, Yoshinobu Baba

    Micro Total Analysis Systems 2012   2012.10

     More details

    Language:English  

    GRAPHENE FOREST DEVICES AS CELL SCAFFOLDS FOR STEM CELLS
    We developed carbon nanowalls devices (CNWs), on which graphenes vertically stand in the nanometer spacing like "graphene forest", with different wettability. CNWs permitted cell adhesion and proliferation, and especially super hydrophobic CNWs enabled easy and less invasive cell collection. Furthermore, collagen coated CNWs successfully enhanced the differential ability of the human mesenchymal stem cells (hMSC) to osteoblast cells compared to collagen coated polystyrene culture dishes. Thus, CNWs have superior many properties as cells scaffolds and are expected to be useful for regenerative medicine.

  • Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   2012.10

     More details

    Language:English  

    DOI: 10.1063/1.4764065

  • プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用

    堀 勝, 鈴木 俊哉, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠

    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース/2012, Summer, No.33   2012.8

     More details

    Language:Japanese  

  • Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   2012.7

     More details

    Language:English  

    DOI: 10.1063/1.4733387

  • Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, Masaru Hori

    AIP ADVANCES   2012.6

     More details

    Language:English  

    DOI: 10.1063/1.4729448

  • Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma

    Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2012.3

     More details

    Language:English  

    DOI: 10.1143/APEX.5.035101

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiromasa Tanaka, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    APPLIED PHYSICS LETTERS   2012.3

     More details

    Language:English  

    DOI: 10.1063/1.3694928

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(3)

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学関係連合講演会講演予稿集(CD-ROM)   2012.2

     More details

    Language:Japanese  

  • Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minam, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    Jpn. J. Appl. Phys. 51 (2012) 026201   2012.1

     More details

    Language:English  

    Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

  • Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments

    Hitoshi Watanabe, Hiroki Kondo, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2012.1

     More details

    Language:English  

    DOI: 10.1143/JJAP.51.01AJ07

  • Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2012.1

     More details

    Language:English  

    DOI: 10.1088/0022-3727/45/2/025203

  • Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2012.1

     More details

    Language:English  

    DOI: 10.1143/JJAP.51.016202

  • Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, Mineo Hiramatsu

    APPLIED PHYSICS LETTERS   2011.11

     More details

    Language:English  

    DOI: 10.1063/1.3659470

  • Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    Graphene - Synthesis, Characterization, Properties and Applications   2011.9

     More details

    Language:English  

    Nucleation and Vertical Growth of Nano-Graphene Sheets

    DOI: 10.5772/23703

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2)

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学会学術講演会講演予稿集(CD-ROM)   2011.8

     More details

    Language:Japanese  

  • Impacts of CF+, CF2+, CF3+, and Ar Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist

    Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011.8

     More details

    Language:English  

    DOI: 10.1143/JJAP.50.08JE05

  • Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

    Kenji Ishikwa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Physical Chemistry   2011.7

     More details

    Language:English  

    Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

  • Reactive Ion Etching of Carbon Nanowalls

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki, Hiroyuki Kano, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011.7

     More details

    Language:English  

    DOI: 10.1143/JJAP.50.075101

  • Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   2011.6

     More details

    Language:English  

    DOI: 10.1016/j.sse.2011.01.029

  • 液中プラズマを用いたナノグラフェンの高速合成技術 (特集 「グラフェン」の実務的な視点での開発トレンド)

    近藤博基, 加納 浩之, 堀 勝

    マテリアルステージ 11(2)   2011.5

     More details

    Language:Japanese  

  • Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano

    APPLIED PHYSICS LETTERS   2011.5

     More details

    Language:English  

    DOI: 10.1063/1.3583672

  • Radical-controlled plasma processing for nanofabrication

    Masaru Hori, Hiroki Kondo, Mineo Hiramatsu

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2011.5

     More details

    Language:English  

    DOI: 10.1088/0022-3727/44/17/174027

  • プラズマCVD法によるカーボンナノウォールの制御合成

    近藤博基, 平松美根男, 堀 勝

    マテリアルステージ 11(2)   2011.5

     More details

    Language:Japanese  

  • Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy

    Z. H. Wu, Y. Kawai, Y. -Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, H. Amano

    APPLIED PHYSICS LETTERS   2011.4

     More details

    Language:English  

    DOI: 10.1063/1.3574607

  • Analysis of Local Leakage Current of Pr-Oxide Thin Films with Conductive Atomic Force Microscopy

    Masaki Adachi, Yuzo Kato, Kimihiko Kato, Mitsuo Sakashita, Hiroki Kondo, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.50.04DA08

  • Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr Oxide/PrON/Ge Gate Stack Structure

    Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.50.04DA17

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学関係連合講演会講演予稿集(CD-ROM)   2011.3

     More details

    Language:Japanese  

  • Electron field emission enhancement of carbon nanowalls by plasma surface nitridation

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS LETTERS   2011.3

     More details

    Language:English  

    DOI: 10.1063/1.3532114

  • Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori

    Applied Physics   2011.1

     More details

    Language:English  

    Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011.1

     More details

    Language:English  

    DOI: 10.1143/JJAP.50.01AE03

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011.1

     More details

    Language:English  

    DOI: 10.1143/JJAP.50.01AE03

  • In Situ Electron Spin Resonance Study for Plasma Surface Interactions

    K. Ishikawa, N. Sumi, A. Kono, HORIBE,HIDEO, K. Takeda, H. Kondo, M. Sekine, M. Hori

    7th International Conference on Reactive Plasmas   2010.10

     More details

    Language:Others  

  • 和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

    石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    応用物理学会学術講演会講演予稿集(CD-ROM)   2010.8

     More details

    Language:Japanese  

  • リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学会学術講演会講演予稿集(CD-ROM)   2010.8

     More details

    Language:Japanese  

  • 原子層堆積法により形成したPrAlOの結晶構造および電気的特性

    古田 和也, 竹内 和歌奈, 坂下 満男, 近藤 博基, 中塚 理, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2010.6

     More details

    Language:Japanese  

    本研究ではSi基板上にPr(EtCp)_3,トリメチルアルミニウム(TMA)およびH_2Oを用いた原子層堆積(ALD)法を用いてPrAlO(PAO)膜を形成し,その電気的特性を明らかにした.X線光電子分光(XPS)法から,膜中に界面反応を引き起こしたSiが表面付近に偏析していることが分かり,Al導入によってそれが減少していることが分かった.このことは,Al導入によって界面反応が抑制されていることを示唆している.一方,Deep level transient spectroscopy (DLTS)の結果,Al導入によって浅い準位において界面準位密度が減少した.従って,浅い準位の界面準位密度は,界面反応に起因していると推測される.Alの導入によって界面反応の制御が可能であることを明らかにした.

  • Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry Reviewed

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2010.6

     More details

    Language:English  

    DOI: 10.1143/JJAP.49.060220

  • Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor Reviewed

    Hiroki Kondo, Shinnya Sakurai, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    APPLIED PHYSICS LETTERS   2010.1

     More details

    Language:English  

    DOI: 10.1063/1.3275706

  • Formation processes of Ge3N4 films by radical nitridation and their electrical properties Reviewed

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Shigeaki Zaima

    THIN SOLID FILMS   2010.1

     More details

    Language:English  

    DOI: 10.1016/j.tsf.2009.10.094

  • Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units

    Yuichi Setsuhara, Ken Cho, Kosuke Takenaka, Akinori Ebe, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    THIN SOLID FILMS   2009.12

     More details

    Language:English  

    DOI: 10.1016/j.tsf.2009.07.161

  • Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units Reviewed

    Yuichi Setsuhara, Ken Cho, Kosuke Takenaka, Akinori Ebe, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    THIN SOLID FILMS   2009.12

     More details

    Language:English  

    DOI: 10.1016/j.tsf.2009.07.161

  • Novel Method to Introduce Uniaxial Tensile Strain in Ge by Microfabrication of Ge/Si1-xGex Structures on Si(001) Substrates Reviewed

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, M. Ogawa, S. Zaima

    Solid-State Electronics   2009.9

     More details

    Language:English  

    Novel Method to Introduce Uniaxial Tensile Strain in Ge by Microfabrication of Ge/Si1-xGex Structures on Si(001) Substrates
    We have proposed a novel method to form by microfabrication a uniaxial tensile strained Ge layer due to the elastic strain relaxation of a Si1-xGex buffer layer on a Si(0 0 1) substrate. A fully strain-relaxed Ge layer on a compressive strained Si0.60Ge0.40 was epitaxially grown on Si(0 0 1) substrate and striped mesa lines were fabricated with a line width of 250 nm along the [1 1 0] direction. The strain of the Si0.60Ge0.40 layer was found to be elastically relaxed only along the direction perpendicular to the lines and a uniaxial tensile strained Ge layer was thus formed. The value of tensile strain of the Ge layer is estimated to be 0.25&#37;.

  • ラジカル窒化法による High-k/Ge 界面構造制御

    加藤 公彦, 近藤 博基, 坂下 満男, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2009.6

     More details

    Language:Japanese  

    高移動度Geチャネルを有するmetal-oxide-semiconductor(MOS)型トランジスタの実現に向け、熱処理や溶液処理に対してロバストな高誘電率金属酸化膜/Ge構造の構築が求められている。本研究では、高誘電率金属酸化膜/Ge構造における界面層として期待されるGe_3N_4膜に関し、ラジカル窒化法による形成過程を明らかにし、更にPr酸化膜/Ge_3N_4/Ge構造の電気的特性を評価した。ラジカル窒化法では、50-600℃の広い温度範囲でStoichiometryなGe_3N_4が形成された。一方、飽和膜厚の窒化温度依存性は窒化温度によって異なり、Ge_3N_4形成に支配的な拡散種が異なると考えられる。またAu/Ge_3N_4/Ge構造におけるリーク電流密度は、窒化温度300℃において最も小さいことがわかった。ラジカル窒化法と原子層堆積法によってPr酸化膜/Ge_3N_4/Ge構造を作製した場合、Pr酸化膜/Ge界面にはPr酸窒化膜が形成される。またAl/Pr酸化膜/Ge_3N_4/Ge MOSキャパシタでは、H_2およびN_2雰囲気での熱処理によって界面準位密度が大幅に減少することが分かった。

  • LaAlO/Ge構造へのALD-Al_2O_3界面制御層挿入の効果

    坂下 満男, 加藤 亮祐, 京極 真也, 近藤 博基, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2009.6

     More details

    Language:Japanese  

    GeチャネルMOSFETは高速動作および低電圧動作デバイスとして期待され、また一方で、high-k材料によるゲート絶縁膜はEOTの低減に有効であり、high-k/Ge構造のMOSFETは次世代デバイスとして有望視されている。しかしながら、high-kゲート絶縁膜とGe基板との界面反応によって、ゲート絶縁膜の誘電率は低下し、また、界面準位密度は増加する。そこで、high-kゲート絶縁膜とGe基板との界面にALD法によって形成した極薄のAl_2O_3界面制御層を挿入し、その効果について検討した。なお、本研究ではhigh-kゲート絶縁膜としてLaAlO膜を用いた。厚さ1nm以下のAl_2O_3界面制御層によってGe界面での界面反応は効果的に抑制でき、界面反応によって形成されたGe-oxide層はAl_2O_3界面制御層の厚さの増加とともに減少することが分かった。また、0.4nm程度の厚さのAl_2O_3界面制御層において界面準位密度の低減効果を確認した。さらに、600℃の熱処理に対しても構造は変化せず、熱的にも安定であることが分かった。

  • Pr(EtCp)_3を用いた原子層堆積法によるPr酸化膜の形成

    近藤 博基, 古田 和也, 松井 裕高, 坂下 満男, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2009.6

     More details

    Language:Japanese  

    Pr(EtCp)_3を用いた原子層堆積法(ALD)によるPr酸化膜の成長手法について研究を行い,ウェハー面内での膜厚ばらつきが2&#37;以下であるPr酸化膜のALD成長を実現した.同ALDでは主として立方晶のPr_2O_3が形成したが,Si(100)基板上のPr_2O_3膜が多結晶構造であるのに対し,Si(111)基板上では立方晶Pr_2O_3がエピタキシャル成長することがわかった.一方,Al/ALD-Pr_2O_3/Si(100)およびAl/ALD-Pr_2O_3/Si(111)MOSキャパシタの容量-電圧特性によれば,ALDによって成長した立方晶Pr_2O_3の比誘電率は12.3~16.8であった.電子銃蒸着法や化学気相蒸着法(CVD)で成長したPr酸化膜の結晶構造および成長条件との比較から,ALDプロセスにおいてH_2O分圧を最適化することにより,Pr酸化膜の結晶構造が制御可能であると考えられる。

  • *Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal–Oxide–Semiconductor Capacitors Reviewed

    2009.5

     More details

    Language:English  

    DOI: 10.1143/JJAP.48.05DA04

  • Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes Reviewed

    Hiroki Kondo, Kouhei Furumai, Mitsuo Sakashita, Akira Sakai, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2009.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.48.04C012

  • Nitrogen-Content Dependence of Crystalline Structures and Resistivity of Hf-Si-N Gate Electrodes for Metal-Oxide-Semiconductor Field-Effect Transistors Reviewed

    Kazuaki Miyamoto, Kouhei Furumai, Ben E. Urban, Hiroki Kondo, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2009.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.48.045505

  • Silicide and germanide technology for contacts and gates in MOSFET applications Reviewed

    Shigeaki Zaima, Osamu Nakatsuka, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa

    THIN SOLID FILMS   2008.11

     More details

    Language:English  

    DOI: 10.1016/j.tsf.2008.08.097

  • Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films Reviewed

    Hiroki Kondo, Tomonori Ueyama, Eiji Ikenaga, Keisuke Kobayashi, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    THIN SOLID FILMS   2008.11

     More details

    Language:English  

    DOI: 10.1016/j.tsf.2008.08.079

  • MOCVD法によるPr酸化膜の作製およびその電気的特性評価

    近藤博基, 櫻井晋也, 酒井朗, 小川正毅, 財満鎭明

    信学技報   2008.6

     More details

    Language:Japanese  

  • Ge表面酸化および窒化処理と High-k ゲートスタック構造形成プロセス

    近藤 博基, 坂下 満男, 中塚 理, 小川 正毅, 財満 鎭明

    電気学会研究会資料. EFM, 電子材料研究会   2008.5

     More details

    Language:Japanese  

  • Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors Reviewed

    Kouhei Furumai, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2008.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.47.2420

  • シリコン表面の窒化初期過程とエネルギーバンドキャップの形成 Reviewed

    近藤博基, 財満鎭明, 堀勝, 酒井朗, 小川正毅

    真空   2007.11

     More details

    Language:Japanese  

    Initial stage of processes and energy bandgap formation in nitridation of silicon surfaces using nitrogen radicals have been studied.<br />
    According to scanning tunneling microscopy observations and scanning tunneling spectroscopy measurements, at the initial stage of<br />
    nitridation, linear defects perpendicular to dimmer rows were formed to coincide with an initial nitridation reaction preferentially at<br />
    backbonds of surface Si atoms. After the nitride formation, the surface roughness depends only on substrate temperature regardless of<br />
    radio frequency (RF) power, which means that the growth mode of nitrides is attributed to the surface migration. Contrary, the energy<br />
    bandgap of silicon nitrides is signiˆcantly aŠected by not only substrate temperature but also RF power. Absorption and emission<br />
    spectroscopy results suggest that the contribution of the excited-state nitrogen atoms to the nitridation increases with increasing the<br />
    RF power. Control of surface migration and radical species is crucial to form the monolayer-thick nitride layer with both an atomically<br />
    ‰at surface and a wide energy bandgap.

  • Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors

    FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2007.9

     More details

    Language:English  

    Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors

  • CS-5-2 Si_<1-X>Ge_X/Si(001)構造における転位および歪の評価と制御技術(CS-5.異種材料融合デバイス技術,シンポジウム)

    中塚 理, 酒井 朗, 近藤 博基, 小川 正毅, 財満 鎭明

    電子情報通信学会ソサイエティ大会講演論文集   2007.8

     More details

    Language:Japanese  

  • Composition dependence of work function in metal (Ni,Pt)-germanide gate electrodes Reviewed

    Daisuke Ikeno, Yukihiro Kaneko, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2007.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.46.1865

  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates Reviewed

    Shogo Mochizuki, Akira Sakai, Osamu Nakatsuka, Hiroki Kondo, Katsunori Yukawa, Koji Izunome, Takeshi Senda, Eiji Toyoda, Masaki Ogawa, Shigeaki Zaima

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   2007.1

     More details

    Language:English  

    DOI: 10.1088/0268-1242/22/1/S31

  • Growth and energy bandgap formation of silicon nitride films in radical nitridation Reviewed

    Hiroki Kondo, Keigo Kawaai, Akira Sakai, Masaru Hori, Shigeaki Zaima, Yukio Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2007.1

     More details

    Language:English  

    DOI: 10.1143/JJAP.46.71

  • Composition Dependence of Work Function in Metal (Ni, Pt)-Germanide Gate Electrodes

    IKENO Daisuke, FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2006.9

     More details

    Language:English  

    Composition Dependence of Work Function in Metal (Ni, Pt)-Germanide Gate Electrodes

  • Sub‐100nmゲート領域におけるNiシリサイド形成反応の観察

    伊東大介, 酒井朗, 中塚理, 近藤博基, 赤坂泰志, 奈良安雄, 小川正毅, 財満鎮明

    応用物理学関係連合講演会講演予稿集   2006.3

     More details

    Language:Japanese  

  • Initial growth process of TiN films in ultrahigh-vacuum rapid thermal chemical vapor deposition Reviewed

    Y Okuda, S Naito, O Nakatsuka, H Kondo, T Okuhara, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2006.1

     More details

    Language:English  

    DOI: 10.1143/JJAP.45.49

  • Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2005.10

     More details

    Language:English  

    DOI: 10.1143/JJAP.44.7582

  • Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy Reviewed

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2005.10

     More details

    Language:English  

    DOI: 10.1143/JJAP.44.7582

  • 超高密度・極微細シリコンナノドットの形成技術とメモリ特性 (特集1 次世代不揮発メモリーの開発・高集積化とその市場)

    財満 鎭明, 内藤 慎哉, 近藤 博基

    マテリアルステージ   2005.6

     More details

    Language:Japanese  

  • 電流注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 Reviewed

    世古明義, 渡辺行彦, 近藤博基, 酒井朗, 財満鎭明, 安田幸夫

    電子情報通信学会論文誌   2004.10

     More details

    Language:Japanese  

  • Detection and characterization of stress-induced defects in gate SiO2 films by conductive atomic force microscopy Reviewed

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004.7

     More details

    Language:English  

    DOI: 10.1143/JJAP.43.4679

  • Behavior of local current leakage in stressed gate SiO2 films analyzed by conductive atomic force microscopy

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004.7

     More details

    Language:English  

    DOI: 10.1143/JJAP.43.4683

  • 電流検出型原子間力顕微鏡を用いたゲート絶縁膜の局所リーク電流評価

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2004.6

     More details

    Language:Japanese  

    電流検出型原子間力顕微鏡法(Conductive Atomic Force Microscopy : C-AFM)を用いて、ゲート絶縁膜における局所リ-ク電流をナノスケール観察し、絶縁膜の信頼性劣化機構を解明した。MOSキャパシタにおいてストレス印加したゲートSiO_2膜をC-AFM観察したところ、電流像に局所なりーク電流スポットを観測がされ、その電流-電圧特性の解析などからストレス誘起欠陥に起因する局所リーク電流であることがわかった。更に、ストレス誘起欠陥における電荷充放電現象とマクロなデバイス特性劣化との相関、局所リーク電流と絶縁破壊現象との関連が明らかになった。また、高誘電率絶縁膜において、電流リークパスと結晶構造との相関を明らかにした。

  • Growth of silicon nanocrystal dots with high number density by ultra-high-vacuum chemical vapor deposition Reviewed

    S Naito, M Satake, H Kondo, M Sakashita, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004.6

     More details

    Language:English  

    DOI: 10.1143/JJAP.43.3779

  • ラジカル窒化過程におけるエネルギーバンドギャップ形成機構のSTM/STS解析

    近藤 博基, 河合 圭吾, 宮崎 香代子, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2004.6

     More details

    Language:Japanese  

    次世代ゲート絶縁膜として期待されるシリコン窒化膜について、ラジカル窒化法における初期成膜過程をSTMならびにSTSによって解析した。ラジカル窒化過程では、成膜様式(層状成長または島状成長)は窒化種に依存せず、成膜温度のみに依存するが、エネルギーバンドギャップはラジカルガンの高周波電源電力の変化に伴う窒化種の変化に強く依存することがわかった。原子レベルで平坦で、大きなエネルギーバンドギャップを持つラジカル窒化膜の形成には、窒化種の制御が重要であることがわかった。

  • Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO2 gate films Reviewed

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.43.1843

  • Microscopic Analysis of Stress-Induced Leakage Current in Stressed Gate SiO2Films Using Conductive Atomic Force Microscopy Reviewed

    Watanabe Yukihiko, Seko Akiyoshi, Kondo Hiroki, Sakai Akira, Zaima Shigeaki, Yasuda Yukio

    Japanese Journal of Applied Physics   2004.1

     More details

    Language:English  

    Microscopic Analysis of Stress-Induced Leakage Current in Stressed Gate SiO2Films Using Conductive Atomic Force Microscopy
    We have developed a method of microscopically analyzing the degradation of gate SiO2 films in actual metal-oxide-semiconductor (MOS) devices by conductive atomic force microscopy (C-AFM). In C-AFM images of electrically stressed SiO2 films, leakage current spots on a nanometer scale were successfully observed. The observed current spots show characteristic behaviors similar to the transient stress-induced leakage current which can be detected by macroscopic electrical measurements using MOS capacitors. The appearance of the current spots is discussed on the basis of the mechanism by which holes are trapped and detrapped by stress-induced defects in SiO2 films.

    DOI: 10.1143/jjap.43.l144

  • 電子注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 : ゲート絶縁膜劣化機構の微視的評価

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2003.6

     More details

    Language:Japanese  

    電流検出型原子間力顕微鏡法(Conducting Atomic Force Microscopy :C-AFM)を用いて、Metal-Oxide-Semiconductor(MOS)キャパシタで観測される絶縁膜劣化を、ナノスケールで直接観察する手法を開発した。定電流ストレスを印加したシリコン酸化膜を本手法によって観察した結果、Transient Stress-Induced Leakage Current (Transient-SILC)と考えられる局所リーク電流スポットが観測された。これにより、ストレス誘起される膜中欠陥の局所性と分布、それらに起因した局所的なリーク伝導機構が実験的に明らかになった。

  • Coulomb blockade phenomena in Si metal-oxide-semiconductor field-effect transistors with nano-scale channels fabricated using focused-ion beam implantation Reviewed

    H Kondo, K Izumikawa, M Sakurai, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   1999.12

     More details

    Language:English  

    DOI: 10.1143/JJAP.38.7222

  • Conductance oscillations in low-dimensional ion implanted regions annealed by rapid thermal annealing Reviewed

    H Kondo, K Kaga, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   1999.4

     More details

    Language:English  

    DOI: 10.1143/JJAP.38.1843

  • Conductance Oscillations in Hopping Conduction Systems Fabricated by Focused Ion Beam Implantation Reviewed

    Hiroki Kondo, Hirotaka Iwano, Osamu Nakatsuka, Kazutaka Kaga, Shigeaki Zaima, Yukio Yasuda

    Japanese Journal of Applied Physics   1997.6

     More details

    Language:English  

    DOI: 10.1143/jjap.36.4046

▼display all

Works

  • 名大、プラズマの医療応用研究拠点を設置

    2013.8

     More details

  • 名大など、窒化物半導体の結晶成長を五倍高速化―HDRS新開発

    2013.5

     More details

  • 次世代有機フレキシブルデバイスに向けたソフト材料の超高精度・超高アスペクト比加工~自律型プラズマナノ製造装置による超微細有機膜エッチングを実現~

    2011.7

     More details

  • 新規グラフェン材料であるカーボンナノウォールを用いた次世代デバイスの創製~カーボンナノウォールのバンド構造および電子物性の解明に成功~

    2010.5

     More details

Professional Memberships

  • The Surface Science Society of Japan

  • the Japan Society of Applied Physics

  • Electrochemical society

Committee Memberships

  • Electrochemical society   Steering committee member   Foreign country

    2023.4 - 2024.4   

  • Electrochemical society   Associate board member, Dielectric division   Foreign country

    2023.4 - 2024.4   

  • シリコンテクノロジー分科会・ナノマイクロファブリケーション研究委員会・委員長   委員長   Domestic

    2019.4 - 2023.12   

  • 応用物理学会シリコンテクノロジー分科会ナノ・マイクロファブリケーション研究委員会   委員長  

    2019.4 - 2023.12   

      More details

  • Organizer   Domestic

    2018.4 - 2021.3   

  • 応用物理学会東海支部役員会   幹事  

    2014.4 - Present   

      More details

  • Organizer   Domestic

    2013.4 - 2024.4   

  • 応用物理学会シリコンテクノロジー分科会   幹事  

    2013.4   

      More details

▼display all

Academic Activities

  • 実行委員 International contribution

    ( 名古屋大学 ) 2025.3 - 2024.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:300

  • Program committee International contribution

    PRiME 2024  ( Hawaii Convention Center & Hilton Hawaiian Village UnitedStatesofAmerica ) 2024.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:7,000

  • Program committee International contribution

    245th, Electrochemical society (ECS), meeting  ( San Francisco, CA UnitedStatesofAmerica ) 2024.5

     More details

    Type:Competition, symposium, etc. 

    Number of participants:6,500

  • 実行委員 International contribution

    ( 名古屋大学 ) 2024.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:300

  • Associated program committee International contribution

    244th, Electrochemical society (ECS), Fall meeting  ( Gothenburg Sweden ) 2023.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:6,500

Research Projects

  • プラズマ支援CVD法におけるアモルファスカーボンの成膜機構の情報学援用解析

    2024.10

    共同研究

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • 基板間ハイブリッド接合に資するカーボンキャップ層の選択成長技術の開発

    2024

    名古屋大学低温プラズマ 科学研究センター共同利用・共同研究

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • Smart process for plasma surface processing of the inner surface of small holes

    Grant number:23K22659  2022.4 - 2026.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Grant type:Scientific research funding

    CiNii Research

  • 細穴内面プラズマ表面加工のスマートプロセス化

    Grant number:22H01388  2022 - 2025

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • 超グラフェン新機能材料の創生

    2020 - 2021

    JSPS 国際交流事業・二国間交流事業(スロベニア(MESS)との共同研究)

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • 非平衡大気圧プラズマによるナノ複合材料の合成と表面機能化

    2019 - 2024

    戦略的国際共同研究プログラム(SICORP)「日本-中国 国際共同研究イノベーション拠点共同研究」(環境/エネルギー分野)

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • 高移動度InNチャネルに向けた高密度ラジカル照射下における初期成長機構の解明

    Grant number:18H01890  2018 - 2020

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • カーボンナノウォールシートエッジエレクトロニクスの創成と単一細胞の分化誘導制御

    Grant number:15H02032  2015 - 2017

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • プラズマ微細加工におけるナノ揺らぎ制御に係わるプラズマ科学の創成

    Grant number:25286080  2013 - 2015

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid)  Grant type:Scientific research funding

  • ナノカーボン多層構造物を用いた超小型炭素水素化合物センサーの開発

    Grant number:25600123  2013 - 2014

    科学研究費助成事業  挑戦的萌芽研究

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid)  Grant type:Scientific research funding

  • 気液プラズマ照射下におけるバイオマテリアル形態変化のその場電子顕微鏡観察

    Grant number:25600122  2013 - 2014

    科学研究費助成事業  挑戦的萌芽研究

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • プラズマ医療のための気相・表界面反応ダイナミクスの計測と体系化

    Grant number:24108002  2012 - 2016

    日本学術振興会・文部科学省  科学研究費助成事業  新学術領域研究(研究領域提案型)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • プラズマ照射下その場原子分解能観察による垂直成長ナノグラフェン成長素過程の解明

    Grant number:24360015  2012 - 2014

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • シリコン系エンジニアリングサブストレート実現のための材料・物性・構造制御技術

    Grant number:21246009  2009 - 2011

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • ナノスケールULSIデバイスのためのミクタミクト合金電極・配線の創成

    Grant number:19686004  2007 - 2008

    科学研究費助成事業  若手研究(A)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • ナノシステム機能化High-kゲート/歪制御ゲルマニウムチャネル構造の創成

    Grant number:18063012  2006 - 2009

    科学研究費助成事業  特定領域研究

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • 非熱平衡原子固溶プロセスに基づく超高速伸張歪Geチャネルの創成

    Grant number:18206005  2006 - 2008

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • IV族半導体極微細プロセスに向けた固相反応のダイナミクス制御とCエンジニアリング

    Grant number:15206004  2003 - 2005

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • 単一電子トラップ直視技術の開発とそれを用いた極薄ゲート絶縁膜の絶縁劣化機構の解明

    2001 - 2004

    日本学術振興会  科学研究費助成事業  基盤研究(C)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • 単一電子トラップ直視技術の開発とそれを用いた極薄ゲート絶縁膜の劣化機構の解明

    Grant number:13305005  2001 - 2004

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

▼display all

Class subject

  • 半導体社会実装学特論

    2024.10 - 2025.3   Second semester

  • 半導体社会実装学特論

    2024.10 - 2025.3   Second semester

  • (IUPE)Fundamentals of Integrated Circuits B

    2024.6 - 2024.8   Summer quarter

  • 電気電子工学読解Ⅰ

    2024.4 - 2024.9   First semester

  • 電気電子工学演示Ⅰ

    2024.4 - 2024.9   First semester

  • (IUPE)Fundamentals of Integrated Circuits A

    2024.4 - 2024.6   Spring quarter

  • 半導体社会実装概論

    2024.4 - 2024.6   Spring quarter

  • 半導体社会実装学特論

    2024.10 - 2025.3   Second semester

  • (IUPE)Fundamentals of Integrated Circuits B

    2024.6 - 2024.8   Summer quarter

  • 電気電子工学読解Ⅰ

    2024.4 - 2024.9   First semester

  • 電気電子工学演示Ⅰ

    2024.4 - 2024.9   First semester

  • (IUPE)Fundamentals of Integrated Circuits A

    2024.4 - 2024.6   Spring quarter

▼display all

FD Participation

  • 2023.11   Role:Participation   Title:【シス情FD】企業等との共同研究の実施増加に向けて

    Organizer:[Undergraduate school/graduate school/graduate faculty]

Visiting, concurrent, or part-time lecturers at other universities, institutions, etc.

  • 2024  岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」  Classification:Intensive course  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:2024年7月25日3-4限

  • 2023  名古屋大学低温プラズマ科学研究センター  Classification:Affiliate faculty  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:通年

  • 2023  岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」  Classification:Intensive course  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:2023年6月22日3-4限

Activities contributing to policy formation, academic promotion, etc.

  • 2023.10 - Present   プラズマ・核融合学会

    プラズマ医療科学に基づいたソフトマテリアルのプロセス,材料,計測,評価の進化

  • 2023.4 - Present   電気学会

    放電・プラズマ・パルスパワーにおける計測技術の現状と将来について調査専門委員会

Travel Abroad

  • 2024.3

    Staying countory name 1:United States   Staying institution name 1:Micron

  • 2024.3

    Staying countory name 1:United States   Staying institution name 1:Boise State University

  • 2024.2

    Staying countory name 1:India   Staying institution name 1:SRM Institute of Science & Technology, Kattankulathur