Updated on 2025/03/06

Information

 

写真a

 
SHIRATANI MASAHARU
 
Organization
Faculty of Information Science and Electrical Engineering Department of Electronics Professor
Center of Plasma Nono-interface Engineering (Concurrent)
School of Engineering Department of Electrical Engineering and Computer Science(Concurrent)
Graduate School of Information Science and Electrical Engineering Department of Electrical and Electronic Engineering(Concurrent)
Joint Graduate School of Mathematics for Innovation (Concurrent)
Title
Professor
Contact information
メールアドレス
Tel
0928023734
Profile
1)研究活動概要 プラズマプロセスは,半導体産業をはじめ様々な分野で広く使われている.今後さらに,有機,無機の様々な機能性薄膜材料や新しいデバイスの作製に応用されると考えられており,高精度のプロセス制御技術が求められている.当研究室では,新しいプラズマプロセス技術の開発とその応用について研究している.特に,長期的視野で重要なものを研究課題として選定している.主な課題として,次のような研究を行っている. 1.太陽光発電材料製造技術の研究 経済の発展と人口増加に伴う,エネルギー消費の増大と環境破壊の問題の解決が21世紀の最重要課題である.この解決には,クリーンな発電技術である太陽光発電が大きな役割を果たすと期待されており,太陽電池の高効率化と低コスト化が求められている.当研究室では,21世紀に主流となると考えられるアモルファスシリコン太陽電池の高効率化と低コスト化を実現する製造技術の研究を行っている.これまでに,種々の新しい製造技術の提案を行ってきており,その成果は国内外の研究者から注目されている. 2.微粒子を用いた新しいナノ構造デバイスの研究  ナノメートルサイズの微粒子は,サイズ効果,量子効果の発現が可能なため,これらの効果を利用した新しいナノ構造デバイスの創製が期待されている.この課題に対して,微粒子の結晶性・サイズ・位置の制御技術の開発を行っている.これまでに,青色発光を示すナノシリコン結晶微粒子の作製に成功している. 3.次世代大規模集積回路内配線技術の開発  高集積化が進むにつれて,集積回路内の配線長は長くなり21世紀初頭には,配線総延長は数kmを越えると予想されている.このため今後は,トランジスタより配線が集積回路の性能,歩留まり,信頼性を決めるようになる.このような観点から,プラズマCVD法による銅配線形成技術を開発中であり,既に高純度の銅をサブミクロン幅の溝に埋め込むことに成功している. これまでの研究成果に対して,1991,1996年度に電気学会優秀論文発表賞を,1998年度には日本学術振興会プラズマ材料科学賞を受賞した. 2)教育活動  学内においては学部では,プラズマエレクトロニクス,電磁気学I,電気情報工学卒業研究を,大学院では,プラズマプロセス基礎特論,ナノ集積システム工学演習,電子デバイス工学演習第一,電子デバイス工学演習第二,電子デバイス工学演習第三,電子デバイス工学特別研究を担当している.また,学外においてはサマースクール,講習会等の講師として,全国の大学院生,および社会人を対象とした教育も行っている.

Research Areas

  • Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electric and electronic materials

  • Nanotechnology/Materials / Thin film/surface and interfacial physical properties

  • Nanotechnology/Materials / Applied condensed matter physics

  • Energy Engineering / Fundamental plasma

  • Energy Engineering / Applied plasma science

Degree

  • Doctor of Engineering(Kyushu University, Japan)

Research History

  • - Kyushu University, Professor   

    2006

      More details

  • - 九州大学 教授   

    2006

      More details

Education

  • Kyushu University   Graduate School, Division of Engineering  

    - 1988

      More details

  • Kyushu University   工学研究科   電気工学

    - 1988

      More details

    Country:Japan

    researchmap

  • Kyushu University   Faculty of Engineering  

    - 1983

      More details

  • Kyushu University   School of Engineering   電気工学

    - 1983

      More details

    Country:Japan

    researchmap

Research Interests・Research Keywords

  • Research theme: 電子・電気材料工学

    Keyword: 電子・電気材料工学

    Research period: 2024

  • Research theme: 表面界面物性

    Keyword: 表面界面物性

    Research period: 2024

  • Research theme: 微粒子

    Keyword: 微粒子

    Research period: 2024

  • Research theme: プラズマ理工学

    Keyword: プラズマ理工学

    Research period: 2024

  • Research theme: plasma process

    Keyword: plasma process

    Research period: 2024

  • Research theme: plasma CVD

    Keyword: plasma CVD

    Research period: 2024

  • Research theme: dusty plasma

    Keyword: dusty plasma

    Research period: 2024

  • Research theme: plasma agriculture

    Keyword: low temperature plasma, germination rate enhancement, growth enhancement

    Research period: 2010.4 - 2028.6

  • Research theme: Research on third generation photovoltaics

    Keyword: third generation photovoltaics, multiple exciton generation

    Research period: 2008.10

  • Research theme: Development of deposition of low-k dielectrics for next generation LSI

    Keyword: low-k dielectrics

    Research period: 2002.1

  • Research theme: Study on particle formation mechanism due to interaction between plasma and carbon wall

    Keyword: plasma wall interactionl, nuclear fusion

    Research period: 2001.1

  • Research theme: Development of Cu interconnect in next generation LSI

    Keyword: Cu interconnect

    Research period: 1998.1

  • Research theme: Study on formation mechanism and control of particles in processing plasmas

    Keyword: processing plasma, particle

    Research period: 1987.1

  • Research theme: Study on high rate deposition of high quality materials for solar cells

    Keyword: amorphous silicon

    Research period: 1987.1

Awards

  • 2023 Plasma Materials Science Hall of Fame Prize

    2023.3   名古屋大学低温プラズマ科学研究センター   プラズマ材料科学分野の殿堂賞.当該分野で歴史に残すべき研究者を2名程度選定し,記念プレートを名古屋大学の殿堂賞展示場に半永久的に常設展示する.日本人の現役教授が選定されるのは,今回が初めて.

  • ICRP Most Cited Paper Award

    2022.10   応用物理学会プラズマエレクトロニクス分科会   前回の反応性プラズマ国際会議(ICRP)のJapanese Journal of Applied Physicsの特集号論文のうち,最も引用回数が多い論文1件に授与される.

  • 第12回 シリコンテクノロジー分科会論文賞

    2021.3   応用物理学会シリコンテクノロジー分科会   Real-time monitoring of surface passivationof crystalline silicon during growth of amorphous and epitaxial silicon layer

  • MRS-J貢献賞

    2019.11   日本MRS   日本MRSに多大な貢献した人を顕彰.

  • TOP DOWNLOADED ARTICLE 2017-2018

    2019.6   Plasma Processes and Polymers   2017-2018に最もダウンロードされた論文に授与される.

  • 応用物理学会支部貢献賞

    2018.12   応用物理学会九州支部   応用物理学会九州支部への顕著な貢献

  • 大阪大学接合科学共同利用・共同研究賞

    2017.6   大阪大学接合科学研究所   KI-デンプン試薬を用いた大気圧非平衡プラズマジェット照射による酸化反応の可視化研究(世界初)を共同研究で実施した.

  • 第14回プラズマエレクトロニクス賞

    2016.3   応用物理学会プラズマエレクトロニクス分科会   "Synthesis and characterization of ZnInON semiconductor: a ZnO-based compound with tunable band gap" N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani

  • 第17回プラズマ材料科学賞基礎部門賞

    2015.12   日本学術振興会プラズマ材料科学第153委員会   新学術領域研究「プラズマとナノ界面の相 互作用に関する学術基盤の創成」を始めとするプラズマ材料科学に関する基礎研究の推進

  • ICMAP2014 Best Poster Presentation Award

    2014.7   International Conference of Microelectronics ans Plasma Technology 2014 (ICMAP2014)   "Atmospheric Pressure DBD Plasma Irradiation to Seeds of Glycine max (L.)Merrill and Vigna radiata" T. Amano, T. Sarinont, K. Koga, and M. Shiratani

  • 第7回(2013年度)応用物理学会フェロー表彰

    2013.9   応用物理学会   JSAP Fellow

  • The 9th Asian-European International Conference of Plasma Surface Engineering(AEPSE2013)/ Outstanding Poster Award

    2013.8   The 9th Asian-European International Conference on Plasma Surface Engineering   "Time evolution of spatial profile of nanoparticle amount in reactive plasmas" Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani

  • 平成24年度九州大学研究活動表彰

    2012.11   九州大学   研究活動に対する表彰

  • Advanced Plasma Application Award

    2012.10   11th Asia Pacific Conference on Plasma Science adn Technology (APCPST) & 25th Symposium on Plasma Science for Materials (SPSM)   Zinc-Indium Oxynitride Thin Films for Multiple-QuantumWell Solar Cells N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

  • ISPlasma2012 "Best Presentation Award"

    2012.3   ISPlasma2012   Interaction between amplitude modulated reactive plasmas andnanoparitcles grown in the plasmas KUNIHIRO KAMATAKI, KAZUNORI KOGA, GIICHIRO UCHIDA, NAHO ITAGAKI, HYUNWOONG SEO AND MASAHARU SHIRATANI

  • 平成23年度高温学会論文賞

    2011.3   社団法人高温学会   Investigations on Plasma Interactions with Soft Materials for Fabrication of Flexible Devices

  • 平成22年九州大学研究活動表彰

    2010.5   九州大学   研究活動に対する表彰

  • 応用物理学会第8回APEX/JJAP編集貢献賞

    2010.4   応用物理学会   The Japan Society of Applied Physics presents the APEX/JJAP Editorial Contribution Award to Masaharu Shiratani in recognition of distinguished and valuable contributions as an editor and/or a reviewer of the articles for Applied Physics Express (APEX) and Japanese Journal of Applied Physics (JJAP).

  • 平成21年九州大学産学連携活動表彰

    2009.5   九州大学   産学連携活動に対する表彰

  • Invited Presentation Award at Interfinish 2008

    2008.6   Interfinish 2008 World Congress and Exposition   In recognition of your distinguished invited presentation entitled "Deposition profile control of plasma CVD films on nano-patterned substrates", the Intefinish 2008 Committee presents you with this Invited Presentation Award.

  • 応用物理学会第3回プラズマエレクトロニクス賞

    2005.3   応用物理学会プラズマエレクトロニクス分科会   高光安定性を示すアモルファスシリコンの作製に成功した.

  • 応用物理学会第2回プラズマエレクトロニクス賞

    2004.3   応用物理学会プラズマエレクトロニクス分科会  

  • 日本学術振興会第1回プラズマ材料科学賞

    1998.1   日本学術振興会  

  • 平成7年度電気学会論文発表賞A

    1996.3   電気学会  

  • 平成3年度電気学会論文発表賞B

    1992.4   電気学会  

  • 九州大学工学部電気工学教室宮崎賞

    1983.3   九州大学  

▼display all

Papers

  • Growth control of Marchantia polymorpha gemmae using nonthermal plasma irradiation Reviewed International journal

    S. Tsuboyama, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kuchitsu

    Sci. Rep.   14 ( 1 )   3172   2024.2   ISSN:2045-2322 eISSN:2045-2322

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    Abstract

    Several studies have documented that treatment by cold atmospheric pressure plasma (CAPP) on plants foster seed germination and growth in recent years. However, the molecular processes that underlie the action of CAPP on the seeds and plants remain mostly enigmatic. We here introduce gemmae of Marchantia polymorpha, a basal liverwort, as a novel model plant material suitable for CAPP research. Treating the gemmae with CAPP for a constant time interval at low power resulted in consistent growth enhancement, while growth inhibition at higher power in a dose-dependent manner. These results distinctly demonstrate that CAPP irradiation can positively and negatively regulate plant growth depending on the plasma intensity of irradiation, offering a suitable experimental system for understanding the molecular mechanisms underlying the action of CAPP in plants.

    DOI: 10.1038/s41598-024-53104-1

    Web of Science

    Scopus

    PubMed

    researchmap

    Other Link: https://www.nature.com/articles/s41598-024-53104-1

  • Improving the efficiency of CO2 methanation using a combination of plasma and molecular sieves Reviewed International journal

    S. Toko, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    Results in Surfaces and Interfaces   14   100204 - 100204   2024.2   ISSN:2666-8459 eISSN:2666-8459

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Elsevier BV  

    In recent years, the chemical reactions with plasma catalysis have been attracted attention. The interaction between plasma ant catalyst can wide the process window, realizing the low pressure and low temperature processes with various catalysts. However, the wide process range make it difficult to optimization for social implement. The key lies in elucidating the reaction mechanism, predicting reactions through numerical simulations, and deriving optimal conditions. On the other hand, recent research has suggested that the use of molecular sieves (MS) can improve methanation efficiency. This can be combined with catalysts, offering new potential applications of MS in chemical reactions. Here, we investigated the more efficient combination of plasma and MS and their reaction mechanisms. As a result, it was found that: 1. The use of MS reduces the oxidation source in the gas phase, leading to an increase in methanation efficiency by suppressing reverse reactions. 2. The adsorption effect of MS, which suppress the reverse reaction, increases with higher pressure. 3. MS in plasma decrease the energy in plasma decrease the energy within the plasma, reducing the CO2 decomposition rate due to electron impact.

    DOI: 10.1016/j.rsurfi.2024.100204

    Web of Science

    Scopus

    researchmap

  • On-axis sputtering fabrication of Tm3Fe5O12 film with perpendicular magnetic anisotropy Reviewed International journal

    M. N. Agusutrisno, C. H. Marrows, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, N. Yamashita

    Thin Solid Films   788 ( 15 )   140176 - 140176   2024.1   ISSN:0040-6090 eISSN:1879-2731

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Elsevier BV  

    Thulium iron garnet, Tm3Fe5O12 with perpendicular magnetic anisotropy is fabricated using an on-axis sputtering technique followed by annealing, whereas previous reports have used unusual off-axis geometries. Stoichiometric Tm3Fe5O12 is obtained after the modification of the deposition conditions involving the position of the substrate relative to the cathode, which affects both the chemical and structural properties. The effective perpendicular magnetic anisotropy of 8.6 kJ/m3 is well in line with the results of previous studies using pulse laser deposition and off-axis sputtering. A maze domain pattern is observed, and the domain-wall energy is evaluated as 0.69 mJ/m2.

    DOI: 10.1016/j.tsf.2023.140176

    Web of Science

    Scopus

    researchmap

  • Ion Trajectory Control in Processing Plasmas for Nano-Fabrication Reviewed International journal

    H. Otomo, I. Nagao, K. Kamataki, M. Shiratani

    Key eng. mater   967   2023.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/p-6v9abP

  • Prediction by a hybrid machine learning model for highmobility amorphous In2O3: Sn films fabricated by RF plasma sputtering deposition using a nitrogen-mediated amorphization method Reviewed International journal

    K. Kamataki, H. Ohtomo, N. Itagaki, C. F. Lesly, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    J. Appl. Phys.   134 ( 16 )   2023.10   ISSN:0021-8979 eISSN:1089-7550

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    In this study, we developed a hybrid machine learning technique by combining appropriate classification and regression models to address challenges in producing high-mobility amorphous In2O3:Sn (a-ITO) films, which were fabricated by radio-frequency magnetron sputtering with a nitrogen-mediated amorphization method. To overcome this challenge, this hybrid model that was consisted of a support vector machine as a classification model and a gradient boosting regression tree as a regression model predicted the boundary conditions of crystallinity and experimental conditions with high mobility for a-ITO films. Based on this model, we were able to identify the boundary conditions between amorphous and crystalline crystallinity and thin film deposition conditions that resulted in a-ITO films with 27% higher mobility near the boundary than previous research results. Thus, this prediction model identified key parameters and optimal sputtering conditions necessary for producing high-mobility a-ITO films. The identification of such boundary conditions through machine learning is crucial in the exploration of thin film properties and enables the development of high-throughput experimental designs.

    DOI: 10.1063/5.0160228

    Web of Science

    Scopus

  • Influence of humidity on the plasma-assisted CO2 conversion Reviewed International journal

    P. Attri, T. Okumura, N. Takeuchi, K. Kamataki, K. Koga, M. Shiratani

    Plasma Process Polym   21 ( 1 )   2023.10   ISSN:1612-8850 eISSN:1612-8869

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    The current research focuses on carbon dioxide (CO2) conversion at ambient conditions using streamer plasma. In this study, treatment time and humidity have been found to influence CO2 conversion. Our findings reveal a maximum CO2 conversion rate of 35.2%, achieved with a remarkably high energy efficiency of CO2 conversion at 135% and a low energy cost of 2.17 eV/molecule. We employed optical emission and fourier-transform infrared spectroscopy spectroscopy to analyze the different dissociation products of CO2 and determine the percentage of CO2 conversion. Furthermore, we utilized a two-dimensional (2D) fluid dynamics model and a zero-dimensional (0D) chemistry model to gain insights into the reactor mechanism.

    DOI: 10.1002/ppap.202300141

    Web of Science

    Scopus

    researchmap

  • Evaluation of Interaction Between Substrate and Nanoparticles Deposited by Plasma Chemical Vapor Deposition Reviewed

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    Bull. Am. Phys. Soc.   2023.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Health assessment of rice cultivated and harvested from plasma-irradiated seeds Reviewed International journal

    T. Okumura, H. Tanaka, T. Nakao, T. Anan, R. Arita, M. Shiraki, K. Shiraki, T. Miyabe, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, S. Hosoda, A. Tanaka, Y. Ishibashi, K. Koga

    Sci. Rep.   13 ( 1 )   17450   2023.10   ISSN:2045-2322 eISSN:2045-2322

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    This study provides the health effects assessment of rice cultivated from plasma-irradiated seeds. The rice (Oryza sativa L.) cultivated from seeds with plasma irradiation showed a growth improvement (slope-ratios of with plasma to without plasma were 1.066, 1.042, and 1.255 for tiller, and earing, and ripening periods, respectively) and an 4% increase in yield. The cultivated rice was used for repeated oral administrations to mice for 4-week period. Distilled water and rice cultivated from seeds without plasma irradiation were also used as control. The weights of the lung, kidney, liver, and spleen, with corresponding average values of 0.22 g, 0.72 g, 2.1 g, and 0.17 g for w/ plasma group and 0.22 g, 0.68 g, 2.16 g, and 0.14 g for w/o plasma group, respectively, showing no effect due to the administration of rice cultivated from plasma-irradiated seeds. Nutritional status, liver function, kidney function, and lipid, neutral fat profiles, and glucose metabolism have no significant difference between with and without plasma groups. These results show no obvious subacute effects were observed on rice grains cultivated and harvested from the mother plant that experienced growth improvement by plasma irradiation. This study provides a new finding that there is no apparent adverse health effect on the grains harvested from the plasma-irradiated seeds.

    DOI: 10.1038/s41598-023-43897-y

    Web of Science

    Scopus

    PubMed

    researchmap

  • Influence of Electric Potential-Induced by Atmospheric Pressure Plasma on Cell Response Reviewed International journal

    T. Okumura, C. H. Chang, K. Koga, M. Shiratani, T. Sato

    Sci. Rep.   13 ( 1 )   15960   2023.9   ISSN:2045-2322 eISSN:2045-2322

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    Abstract

    Plasma irradiation leads not only active species, but also reactive chemical species, ultraviolet light, electric fields, magnetic fields, and shock waves. To date the effects of reactive chemical species have been mainly discussed. To understand the biological effect caused by an electric potential induced with an atmospheric-pressure plasma, the behavior of cell stimulated by electric potential was investigated using HeLa cell. The cell concentration assay revealed that less than 20% of cells inactivated by potential stimulation and the remained cells proliferate afterward. Fluorescent microscopic observation revealed that potential stimulation is appreciable to transport the molecules through membrane. These results show that potential stimulation induces intracellular and extracellular molecular transport, while the stimulation has a low lethal effect. A possible mechanism for this molecular transport by potential stimulation was also shown using numerical simulation based on an equivalent circuit of the experimental system including adhered HeLa cell. The potential formation caused by plasma generation is decisive in the contribution of plasma science to molecular biology and the elucidation of the mechanism underlying a biological response induction by plasma irradiation.

    DOI: 10.1038/s41598-023-42976-4

    Web of Science

    Scopus

    PubMed

    researchmap

    Other Link: https://www.nature.com/articles/s41598-023-42976-4

  • Low-temperature fabrication of silicon nitride thin films from a SiH4+N2 gas mixture by controlling SiNx nanoparticle growth in multi-hollow remote plasma chemical vapor deposition Reviewed International journal

    K. Kamataki, Y. Sasaki, I. Nagao, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Mater Sci Semicond Process   164   2023.9   ISSN:1369-8001 eISSN:1873-4081

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Materials Science in Semiconductor Processing  

    High-quality amorphous silicon nitride (SiNx) thin films were fabricated by the controlled growth of nanoparticles during SiH4+N2 multi-hollow remote plasma chemical vapor deposition (CVD) at low substrate temperature 100 °C. Measurements from quartz crystal microbalances showed that a higher amount of nanoparticle incorporation in the SiNx film corresponded to a higher ratio of N/Si in the film, implying that the nanoparticles were nitrided in the plasma phase. We controlled the size of the nanoparticles by tuning the gas flow ratio of N2/SiH4 and the total gas flow rate. Transmission electron microscopy and energy-dispersive X-ray spectroscopy showed that smaller nanoparticles in the plasma led to a higher ratio of N/Si in the film and a lower hydrogen content. We attribute these results to the low heat capacity and large specific surface area of the nanoparticles, which enabled active chemical reactions on their surface in the plasma.

    DOI: 10.1016/j.mssp.2023.107613

    Web of Science

    Scopus

  • Reaction kinetics studies for phenol degradation under the impact of different gas bubbles and pH using gas-liquid discharge plasma Reviewed International journal

    A. El-Tayeb, T. Okumura, P. Attri, K. Kamataki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   62 ( SN )   2023.8   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    A gas-liquid discharge plasma (GLDP) reactor is used to degrade organic pollutants such as phenol. GLDP contains a 7-pin plate system used to enhance phenol degradation in the presence of various pH, and gas bubbles produced from air, O2, O3, CO2, and Ar gases. Experimental outcomes show the impact of solution pH, as phenol degradation efficiencies of 85%, 90%, 96%, and 98% were obtained for pH of 12, 9, 3, and 1, respectively, after 60 min of treatment. This shows that the optimum pH for phenol degradation lies between 1 and 3. Moreover, we explored the influence of gas bubbles generated using various gases, such as air, O2, O3, CO2, and Ar, on phenol degradation. In the presence of O3 gas bubbles, the rate and degree of phenol degradation were significantly increased compared to gas bubbles produced from other gases (O2, CO2, Ar, and air). The degradation competence of phenol by added oxygen remained higher than argon. The performance of the GLDP system at various pH values and gas bubbles was evaluated using kinetic models. Pseudo-zero, first and second reaction kinetics models were used to examine the degradation of phenol. The rate of degradation at different pH and in the presence of gas bubbles follows pseudo-zero-order kinetics. Our GLDP reactor consumed energy of 127.5 J l-1 for phenol degradation under the influence of air bubbles and pH 5. The outcome of this research can help in the design of new reactors for industrial wastewater treatment.

    DOI: 10.35848/1347-4065/acebfb

    Web of Science

    Scopus

    researchmap

  • Control of Inhomogeneity and Magnetic Properties of ZnO:Co Films Grown by Magnetron Sputtering Using Nitrogen Reviewed International journal

    M. N. Agusutrisno, R. Narishige, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, N. Yamashita

    Mater Sci Semicond Process   162   2023.8   ISSN:1369-8001 eISSN:1873-4081

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Materials Science in Semiconductor Processing  

    We experimentally report the control of structural inhomogeneity and magnetic properties of Co-doped ZnO films using nitrogen mediated-crystallization. The ZnO:CoN were grown on a silicon substrate at room temperature by RF-magnetron sputtering using nitrogen and followed by a post-annealing treatment for 3 hours at 400 °C, 600 °C, 800 °C and 1000 °C in the air. This method induces changes in inhomogeneity properties comprised by microstructure and stoichiometry of each film, which are confirmed by X-ray diffraction, thermal desorption, and X-ray fluorescence measurements. The difference in inhomogeneity has led to the transformation in the magnetic properties. Films annealed at 400 °C, which showed the highest inhomogeneity, exhibited superparamagnetic-ferromagnetic properties. In contrast, all the other films exhibited diamagnetic properties. Increasing the post-annealing temperature above 400 °C reduces inhomogeneities indicated by improved grain size, decreased impurities, and lattice parameters and stoichiometry of ZnO:CoN films approached those of pure ZnO. Our present results will contribute to control the inhomogeneity of ZnO:Co films to improve magnetic properties at room temperature.

    DOI: 10.1016/j.mssp.2023.107503

    Web of Science

    Scopus

  • Silicon surface passivation with a-Si:H by PECVD: growth temperature effects on defects and band offset Reviewed International journal

    S. Nunomura, I. Sakata, T. Misawa, S. Kawai, K. Kamataki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   62 ( SL )   2023.8   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The surface passivation of crystalline silicon (c-Si) is studied during growth of hydrogenated amorphous silicon (a-Si:H) by means of plasma-enhanced CVD. The surface passivation is characterized by an in situ method of the photocurrent measurement of c-Si during the growth of an a-Si:H passivation layer at various growth temperatures. The passivation is also characterized by an ex situ method of the carrier lifetime measurement performed at RT in air. According to both the in situ and ex situ characterization results, the surface passivation is optimized around a growth temperate of 200 °C, where the defect reduction and the band offset formation at the a-Si:H/c-Si interface play important roles.

    DOI: 10.35848/1347-4065/ace118

    Web of Science

    Scopus

  • Improving the efficiency of Sabatier reaction through H2O removal with low-pressure plasma catalysis Reviewed International journal

    T. Hasegawa, S. Toko, K. Kamataki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   62 ( SL )   SL1028 - SL1028   2023.8   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    Abstract

    This study aimed to realize in situ resource utilization in deep-space missions. The Sabatier reaction is used to generate CH<sub>4</sub> from CO<sub>2</sub>, which accounts for 95% of the Martian atmosphere, and H<sub>2</sub> from H<sub>2</sub>O on Mars. In general, thermal catalysis at temperatures above 250 °C drives the process. This high-temperature process, however, causes catalyst deactivation due to overheating. Plasma catalysis drives low-temperature reactions by excitation and decomposition of source gases via electron impact. We investigated the effect of removing H<sub>2</sub>O from gas phase in the reaction with Cu and Ni catalysts using molecular sieves in this study. The reverse reaction can be aided by OH radicals derived from H<sub>2</sub>O. Therefore, CO<sub>2</sub> conversion increased from 49.4% to 69.1% for Cu catalysts with molecular sieves, and CH<sub>4</sub> selectivity increased from 3.49% to 6.33%. These findings imply that removing H<sub>2</sub>O can suppress the reverse reactions.

    DOI: 10.35848/1347-4065/ace831

    Web of Science

    Scopus

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/ace831/pdf

  • Highly selective Si3N4 etching on Si using pulsed-microwave CH3F/O2/Ar plasma Reviewed International journal

    M. Morimoto, M. Matsui, N. Ikeda, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   62 ( SN )   2023.7   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Highly selective Si3N4 etching on Si was achieved in a CH3F/O2/Ar plasma using pulsed-microwave plasma and time-modulation bias. The Si3N4/Si selectivity reached infinity at a peak-to-peak voltage (V pp) of 240 V. The effect of pulsed-microwave on CH3F gas dissociation for highly selective Si3N4 etching was investigated by deposited film analysis, optical emission spectroscopy, and ion current flux measurements. As the duty cycle of the pulsed-microwave was decreased, the plasma density during the pulse on period decreased and the CH/H ratio increased. The pulsed-microwave plasma produced low-dissociation radicals by providing a low plasma density. The low-dissociation radicals in the CH3F plasma formed a fluorine (F)-rich hydrofluorocarbon (HFC) layer on the Si3N4 wafer surface. The F-rich HFC layer promotes Si3N4 etching even at low ion energy, where Si etching does not proceed, and enables highly selective Si3N4 etching on Si.

    DOI: 10.35848/1347-4065/ace0ca

    Web of Science

    Scopus

  • Contribution of active species generated in plasma to CO2 methanation Reviewed International journal

    S. Toko, T. Hasegawa, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    Jpn. J. Appl. Phys.   62 ( SL )   2023.7   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    CO2 methanation is an effective technology for CO2 reduction. Generally, methanation reactions are accelerated using thermal catalysts. However, the temperature control is difficult because CO2 methanation is an exothermic reaction, and the catalyst is deactivated by overheating. Plasma catalysis can solve this problem by driving this reaction at lower temperatures. Therefore, in this study, we investigated the contribution of the active species generated in the plasma to CO2 methanation. We found that the density of active species is linearly related to the power density, and in particular, the CH4 generation rate is determined by the CO-derived active species, not the H-derived active species. Furthermore, with an increase in the catalyst temperature, a new reaction pathway for CH4 production is added. The results of this study contribute to the understanding of the relationship between the active species produced in plasma and CO2 methanation.

    DOI: 10.35848/1347-4065/acdad9

    Web of Science

    Scopus

    researchmap

  • Plasma-assisted CO2 and N2 conversion to plant nutrient Reviewed International journal

    P. Attri, T. Okumura, N. Takeuchi, K. Kamataki, K. Koga, M. Shiratani

    Front. Phys.   11   2023.7   ISSN:2296-424X eISSN:2296-424X

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Frontiers in Physics  

    Colossal research on CO2 and N2 conversion using non-thermal plasma (NTP) technology has been ongoing since many years. The primary focus is on CO and NH3 production through CO2 and N2 conversion, respectively, with high conversion efficiency and low energy consumption with or without catalysts. Although in the present study, we propose that the NTP can assist in converting CO2 and N2 to plant nutrients in the form of plasma-treated/activated water. We used a homemade streamer plasma device and produced plasma-activated water (PAW) using CO2 and N2 feed gas, CO2-activated water (CAW) and N2-activated water (NAW). Later, we used CAW and NAW to treat the radish seeds and evaluate the germination rate, germination percentage, and seeding growth. To understand the chemical changes in PAW after the NTP treatment, we performed a chemical analysis to detect NO2¯, NO3¯, NH4+, and H2O2 along with the PAW pH and temperature shift. Additionally, to understand the other species produced in the gas phase, we simulated chemical reactions using COMSOL Multiphysics® software. Our results show that NOx and NHx species are less produced in CAW than in NAW, but CO2-generated PAW offers a significantly more substantial effect on enhancing the germination rate and seeding growth than NAW. Therefore, we suggested that CO and H2O2 formed during CAW production trigger early germination and growth enhancement. Furthermore, the total plasma reactor energy consumption, NO3¯ and NH4+ selective production percentage, and N2 conversion percentage were calculated. To our best knowledge, this is the first study that uses plasma-assisted CO2 conversion as a nutrient for plant growth.

    DOI: 10.3389/fphy.2023.1211166

    Web of Science

    Scopus

    researchmap

  • Unraveling the Transport Properties of RONS across Nitro-Oxidized Membranes Reviewed International journal

    D. Abduvokhidov, M. Yusupov, A. Shahzad, P. Attri, M. Shiratani, M. C. Oliveira, J. Razzokov

    Biomolecules   13 ( 7 )   2023.6   eISSN:2218-273X

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Biomolecules  

    The potential of cold atmospheric plasma (CAP) in biomedical applications has received significant interest, due to its ability to generate reactive oxygen and nitrogen species (RONS). Upon exposure to living cells, CAP triggers alterations in various cellular components, such as the cell membrane. However, the permeation of RONS across nitrated and oxidized membranes remains understudied. To address this gap, we conducted molecular dynamics simulations, to investigate the permeation capabilities of RONS across modified cell membranes. This computational study investigated the translocation processes of less hydrophilic and hydrophilic RONS across the phospholipid bilayer (PLB), with various degrees of oxidation and nitration, and elucidated the impact of RONS on PLB permeability. The simulation results showed that less hydrophilic species, i.e., NO, NO2, N2O4, and O3, have a higher penetration ability through nitro-oxidized PLB compared to hydrophilic RONS, i.e., HNO3, s-cis-HONO, s-trans-HONO, H2O2, HO2, and OH. In particular, nitro-oxidation of PLB, induced by, e.g., cold atmospheric plasma, has minimal impact on the penetration of free energy barriers of less hydrophilic species, while it lowers these barriers for hydrophilic RONS, thereby enhancing their translocation across nitro-oxidized PLB. This research contributes to a better understanding of the translocation abilities of RONS in the field of plasma biomedical applications and highlights the need for further analysis of their role in intracellular signaling pathways.

    DOI: 10.3390/biom13071043

    Web of Science

    Scopus

    PubMed

    researchmap

  • Instant switching control between two types of plasma-driven liquid flows Reviewed International journal

    T. Kawasaki, K. Shen, H. Shi, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   62 ( 6 )   060904-1 - 060904-4   2023.6   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Plasma-driven liquid flows that are generated in bulk liquid by plasma irradiation are one of the key factors in understanding the interaction between plasma and liquid. In this work, the direction of the plasma-driven liquid flow was successfully switched and controlled only by changing the frequency of argon plasma jet generation. The liquid flow could switch in the opposite direction within 3 s after the frequency change. Changes in the emission spectra with frequency have an important effect on the liquid flows, with results from current waveforms indicating that the frequency also changes the characteristics of the plasma jet.

    DOI: 10.35848/1347-4065/acde29

    Web of Science

    Scopus

    researchmap

  • Effect of time-modulation bias on polysilicon gate etching Reviewed International journal

    M. Morimoto, M.Tanaka, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   62 ( SI )   2023.5   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The etching characteristics were studied via time-modulation bias (bias pulsing) by varying the pulsing parameters. The etch profiles were verified using polysilicon gate structures with dense and isolated patterns. Ion energy was defined as the peak-to-peak voltage (V pp) controlled by the RF bias power. The durations of the on period and off period (off time) of bias pulsing were adjusted by the pulse frequency and duty cycle. Profile evolution was observed in the variations in V pp and off time. Increasing the ion energy induced vertical profiles of dense patterns and the tapered profiles of isolated patterns. Extending the off time of bias pulsing induced tapered profiles of dense patterns and vertical profiles of isolated patterns. These results indicated that increasing the ion energy and pulse off time simultaneously was the direction to achieve anisotropic etch profiles for both the isolated and dense patterns.

    DOI: 10.35848/1347-4065/acc7ab

    Web of Science

    Scopus

  • Optical emission spectroscopy study in CO2 methanation with plasma Reviewed International journal

    S. Toko, T. Hasegawa, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    Jpn. J. Appl. Phys.   62 ( SI )   2023.4   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Methanation of CO2 is a key technology to realize a sustainable society. The reactions should be driven at a lower temperatures from the viewpoint of catalyst stability. Methanation with plasma catalysis can drive reactions at lower temperature than thermal catalysis. However, the reaction mechanism is little understood due to the complexity of the interactions. In this study, we investigated the power and pressure dependence of the methanation efficiency when only plasma is used as a fundamental research. We discuss how these parameters change the vibrational temperature and active species density and affect the methanation efficiency using optical emission spectroscopy.

    DOI: 10.35848/1347-4065/acc66a

    Web of Science

    Scopus

    researchmap

  • Cold Plasma-Induced Changes in Stevia rebaudiana Morphometric and Biochemical Parameter Correlations Reviewed International journal

    A. Judickaitė, J. Venckus, K. Koga, M. Shiratani, V. Mildažienė, R. Žūkienė

    Plants   12 ( 8 )   2023.4   ISSN:2223-7747

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plants  

    Stevia rebaudiana Bertoni is an economically important source of natural low-calorie sweeteners, steviol glycosides (SGs), with stevioside (Stev) and rebaudioside A (RebA) being the most abundant. Pre-sowing seed treatment with cold plasma (CP) was shown to stimulate SGs biosynthesis/accumulation up to several fold. This study aimed to evaluate the possibility to predict CP-induced biochemical changes in plants from morphometric parameters. Principle component analysis (PCA) was applied to two different sets of data: morphometric parameters versus SGs concentrations and ratio, and morphometric parameters versus other secondary metabolites (total phenolic content (TPC), total flavonoid content (TFC)) and antioxidant activity (AA). Seeds were treated for 2, 5 and 7 min with CP (CP2, CP5 and CP7 groups) before sowing. CP treatment stimulated SGs production. CP5 induced the highest increase of RebA, Stev and RebA+Stev concentrations (2.5-, 1.6-, and 1.8-fold, respectively). CP did not affect TPC, TFC or AA and had a duration-dependent tendency to decrease leaf dry mass and plant height. The correlation analysis of individual plant traits revealed that at least one morphometric parameter negatively correlates with Stev orRebA+Stev concentration after CP treatment.

    DOI: 10.3390/plants12081585

    Web of Science

    Scopus

    PubMed

  • Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity Reviewed International journal

    Y. Liu, Y. Nakatsu, H. Tanaka, K. Koga, K. Ishikawa, M. Shiratani, M. Hori

    Genes Environ   45 ( 1 )   3   2023.1   ISSN:1880-7046 eISSN:1880-7062

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Genes and Environment  

    Background: Non-thermal atmospheric pressure plasma technologies form the core of many scientific advances, including in the electronic, industrial, and biotechnological fields. The use of plasma as a cancer therapy has recently attracted significant attention due to its cancer cell killing activity. Plasma-activated Ringer’s lactate solution (PAL) exhibits such activity. In addition to ROS, PAL contains active compounds or species that cause cancer cell death, but the potential mutagenic risks of PAL have not been studied. Results: PAL has a low pH value and a high concentration of H2O2. H2O2 was removed from PAL using catalase and catalase-treated PAL with a pH of 5.9 retained a killing effect on HeLa cells whereas this effect was not observed if the PAL was adjusted to pH 7.2. Catalase-treated PAL at pH 5.9 had no significant effect on mutation frequency, the expression of γH2AX, or G2 arrest in HeLa cells. Conclusion: PAL contains one or more active compounds or species in addition to H2O2 that have a killing effect on HeLa cells. The compound(s) is active at lower pH conditions and apparently exhibits no genotoxicity. This study suggested that identification of the active compound(s) in PAL could lead to the development of novel anticancer drugs for future cancer therapy.

    DOI: 10.1186/s41021-023-00260-x

    Web of Science

    Scopus

    PubMed

  • Role of insoluble atoms in the formation of a three-dimensional buffer layer in inverted Stranski–Krastanov mode Reviewed International journal

    N. Yamashita, R. Mitsuishi, Y. Nakamura, K. Takeda, M. Hori, K. Kamataki, T. Okumura, K. Koga, M. Shiratani

    J. Mater. Res   38 ( 5 )   1178 - 1185   2023.1   ISSN:0884-2914 eISSN:2044-5326

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    The inverted Stranski–Krastanov (SK) mode is useful for heteroepitaxial growth of single-crystalline ZnO films on 18% lattice-mismatched sapphire substrates. We studied the role of nitrogen atoms during fabrication of a three-dimensional island-shaped buffer layer. We found an unprecedented maximum in the substrate temperature dependence of the density of the crystal grains, which facilitated the growth of flat ZnO layers. To reveal the mechanism of the aforementioned maximum, we measured the absolute N atom density in Ar/N2 sputtering plasma [N]plasma by vacuum-ultraviolet absorption spectroscopy. At [N]plasma = 2.2 × 1010 cm−3, we fabricated a ZnO film with a pit-free surface, attributable to the large surface reaction probability and small incorporation ratio of N atoms into the ZnO films. To describe these results, we applied an Ising model. The analytical calculations provide insights for inverted SK mode and clearly reveal the critical effects of the flux densities. Graphical abstract: [Figure not available: see fulltext.]

    DOI: 10.1557/s43578-022-00886-7

    Web of Science

    Scopus

    researchmap

    Other Link: https://link.springer.com/article/10.1557/s43578-022-00886-7/fulltext.html

  • Prospects of plasma generated species interaction with organic and inorganic materials Reviewed International journal

    P. Attri, K. Koga, H. Kurita, K. Ishikawa, M. Shiratani

    Frontiers in Physics   10   2023.1   ISSN:2296-424X eISSN:2296-424X

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Frontiers Media SA  

    DOI: 10.3389/fphy.2022.1118018

    Web of Science

    Scopus

    researchmap

  • Near-infrared light harvesting of upconverting Y2O3: Er3+ nanoparticles and their photovoltaic application Reviewed International journal

    D. Sakamoto, M. Shiratani, H. Seo

    Electrochim. Acta   436   2022.12   ISSN:0013-4686 eISSN:1873-3859

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Electrochimica Acta  

    Light harvesting plays a significant role in the enhancement on photovoltaic performance. It is closely associated with the photocurrent of solar cells. It is possible to improve light harvesting by material substitution as well as expansion of absorptive edge. Therefore, upconversion characteristics is one of promising solutions for better light harvesting. Upconverting materials emit visible light which is available for photoconversion after they absorb invisible near-infrared (NIR) light. In this study, Er3+ doped Y2O3 (Y2O3:Er3+) nanoparticles were used as upconverting material. Its strong emissions of green and red light corresponding to 2H11/2 and 4S3/2 → 4I15/2 and 4F9/2 → 4I15/2 transitions were clearly demonstrated under NIR irradiation of 975 nm wavelength. These emissions were apparently absorbed by dye-sensitized electrode. Based on these results, Y2O3:Er3+ nanoparticles were introduced into dye-sensitized solar cells (DSCs). After incorporating Y2O3:Er3+ nanoparticles, photovoltage was increased due to its high band-gap energy of about 5 eV. On the other hand, the photocurrent was decreased because of the decrease in adsorbed dye amount and electron paths. However, the photoconversion edge was obviously expanded. As a result, DSC performance was enhanced with optimum upconverting particles. It exhibited an efficiency of 8.03%, which was higher than that of a conventional DSC.

    DOI: 10.1016/j.electacta.2022.141407

    Web of Science

    Scopus

  • One-dimensional particle-in-cell/Monte Carlo collision simulation for investigation of amplitude modulation effects in RF capacitive discharges Reviewed International journal

    I. Nagao, K. Kamataki, A. Yamamoto, M. Otaka, Y. Yamamoto, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS Adv.   7 ( 31 )   911 - 917   2022.12   ISSN:2731-5894 eISSN:2059-8521

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    We have investigated the effects of amplitude modulation (AM) discharges especially in differences of AM frequency on plasma parameters such as electric field, electron density, electron temperature, ion energy distribution function (IEDF), and ion angular distribution function (IADF) of capacitively coupled AM discharge Ar plasma using a Particle-in-cell/Monte Carlo collision (PIC-MCC) model. The electron density and the kinetic energy of ions incident on the grounded electrode oscillate periodically with the AM frequency. The oscillation amplitude of the electron density in the central plasma region between the electrodes decreases with increasing the AM frequency above 5 kHz. On the other hand, the peak energy of IEDF decreases with increasing the AM frequency above 500 kHz. Thus, the AM frequency is a good tuning knob to control such plasma parameters.

    DOI: 10.1557/s43580-022-00417-w

    Web of Science

    Scopus

    researchmap

    Other Link: https://link.springer.com/article/10.1557/s43580-022-00417-w/fulltext.html

  • Guest Editorial: Emerging Plasma Nanotechnologies Reviewed International journal

    M. Shiratani, J. P. Verboncoeur, J. S. Wu

    IEEE Open Journal of Nanotechnology   3   131 - 132   2022.12   eISSN:2644-1292

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Open Journal of Nanotechnology  

    DOI: 10.1109/OJNANO.2022.3224346

    Web of Science

    Scopus

  • Effects of substrate surface polarity on heteroepitaxial growth of pseudobinary ZnO–InN alloy films on ZnO substrates Reviewed International journal

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    J. Mater. Res.   38 ( 7 )   1803 - 1812   2022.11   ISSN:0884-2914 eISSN:2044-5326

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    (ZnO)X(InN)1-X films have been epitaxially grown on 0.9%-lattice-mismatched ZnO substrates at 450 °C by sputtering. Films fabricated on O-polar substrates exhibit higher crystal quality and smoother surface. The full width at half-maximum of (0002) rocking curve and the root-mean-square roughness (Rq) of a 30-nm-thick film on O-polar surface are 0.21° and 2.71 nm, respectively, whereas those on Zn-polar one are 0.32° and 4.30 nm, respectively. Rq on O-polar surface further decreases to 0.73 nm as the thickness decreases to 10 nm, where we successfully obtained atomically flat single-crystalline films having atomically sharp interface with the substrates. High-resolution transmission electron microscopy revealed the Stranski–Krastanov (layer plus island) growth for O-polar case and just 3D islanding mode growth for Zn-polar one. All the results indicate the much longer migration length of adatoms on O-polar surface during the film growth, enabling adatoms to reach their thermodynamically favored positions even at low substrate temperature. Graphical abstract: [Figure not available: see fulltext.]

    DOI: 10.1557/s43578-022-00827-4

    Web of Science

    Scopus

    researchmap

    Other Link: https://link.springer.com/article/10.1557/s43578-022-00827-4/fulltext.html

  • Plasma irradiation-introduced RONS amount into plant seeds and their response analysis Reviewed

    T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth Reviewed

    K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers Reviewed

    K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Relationship between vibrational temperature and CO2 methanation with plasma catalysis Reviewed

    S. Toko, T. Hasegawa, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model Reviewed

    I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Control of magnetic transition of ZnO: Co grown by RF-sputter using post-annealing Reviewed International journal

    A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani

    ICIEE   2022.10   ISBN:9781665486224

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:2022 International Conference on Informatics Electrical and Electronics, ICIEE 2022 - Proceedings  

    Ferromagnetic semiconductor has attracted much attention for the application of spintronic devices, which will bring next generation of the information technology. Cobalt-doped Zinc Oxides (ZnO: Co) is strong candidate of this material group. The ZnO: Co films were grown on a silicon substrate (100) at room temperature by radio-frequency (rf) sputtering deposition and followed by post-Annealing treatment for 3 hours at 400°C and 800°C in the air. The transition from paramagnetic to ferromagnetic occurs after annealing at 400°C, and the properties return to paramagnetic like as-deposition when the temperature rises to 800°C. The XRD measurement of ZnO: Co films exhibited a wurtzite structure in the (002) plane and was free from secondary phases. Then, post-Annealing at 400°C due to shift peak and decrease oxygen element, meanwhile the crystallinity significantly up to 800°C.

    DOI: 10.1109/ICIEE55596.2022.10010108

    Scopus

  • Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas Reviewed

    M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Reproducibility in plasma agriculture Reviewed

    M. Shiratani, T. Anan, T. Nakao, T. Okumura, P. Attri, K. Koga

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy Reviewed

    T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Plasma induced conversion of CO2 with water to useful compounds Reviewed

    P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode Reviewed

    M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation Reviewed

    S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Bull. Am. Phys. Soc.   2022.10

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Effects of amplitude modulated capacitively coupled discharge Ar plasma on kinetic energy and angular distribution function of ions impinging on electrodes:particle-in-cell/Monte Carlo collision model simulation Reviewed International journal

    K. Abe, K. Kamataki, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K . Koga, M. Shiratani

    Jpn. J. Appl. Phys.   61 ( 10 )   106003 - 106003   2022.9   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    Abstract

    We investigated the effects of amplitude modulated (AM) capacitively coupled Ar discharge plasma on the ion energy distribution function (IEDF) and the ion angular distribution function (IADF) incident on electrodes using the particle-in-cell/Monte Carlo collision model. For AM discharge, the electron density and electron temperature and the kinetic energy and angle of ions incident on the ground electrode change periodically with AM frequency, whereas ones for continuous wave discharge are almost constant. For AM discharge, the plasma had hysteresis characteristics. The peak energy of IEDF varies from 53 to 135 eV and the FWHM of IADF varies from 1.82 to 3.34 degrees for gas pressure 10mTorr, the peak-to-peak input voltage 400 V and AM level of 50%. The variation width of the peak energy of IEDF and FWHM of IADF increases with the AM level. These effects of AM method discharge are more noticeable at lower pressures. Thus, the AM discharge offers a way to control simultaneously IEDF and IADF, which opens a new avenue for plasma processes such as an ALD-like PECVD.

    DOI: 10.35848/1347-4065/ac7626

    Web of Science

    Scopus

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/ac7626/pdf

  • Effects of amplitude modulated discharge on growth of nanoparticles in TEOS/O2/Ar capacitively coupled plasma Reviewed International journal

    K. Kamataki, D. Nagamatsu, T. Yang, K. Abe, A. Yamamoto, I. Nagao, T. Arima, M. Otaka, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    AIP Adv.   12   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0097691

  • Effects of amplitude modulated discharge on growth of nanoparticles in TEOS/O2/Ar capacitively coupled plasma Reviewed International journal

    K. Kamataki, D. Nagamatsu, T. Yang, K. Abe, A. Yamamoto, I. Nagao, T. Arima, M. Otaka, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    AIP Adv   12 ( 8 )   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0097691

  • Changes in Content of Bioactive Compounds and Antioxidant Activity Induced in Needles of Different Half-Sib Families of Norway Spruce (Picea abies (L.) H. Karst) by Seed Treatment with Cold Plasma Reviewed International journal

    V. Sirgedaitė-Šėžienė, I. Lučinskaitė, V. Mildažienė, A. Ivankov, K. Koga, M. Shiratani, K. Laužikė, V. Baliuckas

    Antioxidants   11 ( 8 )   2022.8   ISSN:2076-3921 eISSN:2076-3921

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Antioxidants  

    In order to ensure sufficient food resources for a constantly growing human population, new technologies (e.g., cold plasma technologies) are being developed for increasing the germination and seedling growth without negative effects on the environment. Pinaceae species are considered a natural source of antioxidant compounds and are valued for their pharmaceutical and nutraceutical properties. In this study, the seeds of seven different Norway spruce half-sib families were processed for one or two minutes with cold plasma (CP) using dielectric barrier discharge (DBD) plasma equipment. At the end of the second vegetation season, the total flavonoid content (TFC), DPPH (2,2- diphenyl-1-picryl-hydrazyl-hydrate), and ABTS (2,2’-azino-bis (3-ethylbenzothiazoline-6-sulfonic acid)) antioxidant activity, and the amounts of six organic acids (folic, malic, citric, oxalic, succinic, and ascorbic) were determined in the needles of different half-sib families of Norway spruce seedlings. The results show that the TFC, antioxidant activity, and amounts of organic acids in the seedling needles depended on both the treatment duration and the genetic family. The strongest positive effect on the TFC was determined in the seedlings of the 477, 599, and 541 half-sib families after seed treatment with CP for 1 min (CP1). The TFC in these families increased from 118.06 mg g−1 to 312.6 mg g−1 compared to the control. Moreover, seed treatment with CP1 resulted in the strongest increase in the antioxidant activity of the needles of the 541 half-sib family seedlings; the antioxidant activity, determined by DPPH and ABTS tests, increased by 30 and 23%, respectively, compared to the control. The obtained results indicate that the CP effect on the amount of organic acids in the needles was dependent on the half-sib family. It was determined that treatment with CP1 increased the amount of five organic acids in the needles of the 541 half-sib family seedlings. The presented results show future possibilities for using cold plasma seed treatment in the food and pharmacy industries.

    DOI: 10.3390/antiox11081558

    Web of Science

    Scopus

    PubMed

  • Detection of NO3− introduced in plasma-irradiated dry lettuce seeds using liquid chromatography-electrospray ionization quantum mass spectrometry (LC-ESI QMS) Reviewed International journal

    T. Okumura, P. Attri, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani, Y. Ishibashi, K. Kuchitsu, K. Koga

    Sci. Rep.   12 ( 1 )   12525   2022.7   ISSN:2045-2322 eISSN:20452322

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    Abstract

    Discharge plasma irradiates seeds with reactive oxygen and nitrogen species (RONS). However, RONS introduced in seeds by plasma irradiation have not been successfully detected thus far. This study provides experimental evidence that nitrate ion NO<sub>3</sub><sup>−</sup> is introduced in lettuce seeds as RONS upon irradiation with atmospheric-pressure air dielectric barrier discharge plasma. Plasma irradiation for 5 min promotes seed germination. The components of the plasma-irradiated seeds were examined using electrospray ionization quantum mass spectrometry (ESI QMS), which revealed that the plasma irradiation introduced an ion with a mass of 62 m/z in detectable amounts. This ion was identified as NO<sub>3</sub><sup>−</sup> by liquid chromatography (LC), multiple wavelength detector (MWD), and LC-ESI QMS. A one-dimensional simulation at electron temperature T<sub>e</sub> = 1 eV, electron density N<sub>e</sub> = 10<sup>13</sup>/m<sup>3</sup>, and gas temperature T<sub>g</sub> = 300 K indicated the introduction of NO<sub>3</sub><sup>−</sup>, involving nitric oxide NO. NO<sub>3</sub><sup>−</sup> is one of the most important ions that trigger signal transduction for germination when introduced in seeds. The scanning electron microscopy (SEM) images revealed that there was no change on the surface of the seeds after plasma irradiation. Plasma irradiation is an effective method of introducing NO<sub>3</sub><sup>−</sup> in seeds in a dry process without causing damage.

    DOI: 10.1038/s41598-022-16641-1

    Web of Science

    Scopus

    PubMed

    CiNii Research

    researchmap

    Other Link: https://www.nature.com/articles/s41598-022-16641-1

  • The 2022 Plasma Roadmap: low temperature plasma science and technology Reviewed International journal

    I. Adamovich, S. Agarwal, E. Ahedo, L. L. Alves, S. Baalrud, N. Babaeva, A. Bogaert, A. Bourdon, P. J. Bruggeman, C. Canal, E. H. Choi, S. Coulombe, Z. Donkó, D. B. Graves, S. Hamaguchi, D. Hegemann, M. Hori, H-H. Kim, G. M. W. Kroesen, M. J. Kushner, A. Laricchiuta, X. Li, T. E. Magin, S. Mededovic Thagard, V. Miller, A. B. Murphy, G. S. Oehrlein, N. Puac, R. M. Sankaran, S. Samukawa, M. Shiratani, M. Šimek, N. Tarasenko, K. Terashima, E. Thomas. Jr, J. Trieschmann, S. Tsikata, M. M. Turne, I. J. van der Walt, M C M van de Sanden, T. von Woedtke

    J. Phys. D: Appl. Phys.   55 ( 37 )   2022.7   ISSN:0022-3727 eISSN:1361-6463

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Physics D: Applied Physics  

    The 2022 Roadmap is the next update in the series of Plasma Roadmaps published by Journal of Physics D with the intent to identify important outstanding challenges in the field of low-temperature plasma (LTP) physics and technology. The format of the Roadmap is the same as the previous Roadmaps representing the visions of 41 leading experts representing 21 countries and five continents in the various sub-fields of LTP science and technology. In recognition of the evolution in the field, several new topics have been introduced or given more prominence. These new topics and emphasis highlight increased interests in plasma-enabled additive manufacturing, soft materials, electrification of chemical conversions, plasma propulsion, extreme plasma regimes, plasmas in hypersonics, data-driven plasma science and technology and the contribution of LTP to combat COVID-19. In the last few decades, LTP science and technology has made a tremendously positive impact on our society. It is our hope that this roadmap will help continue this excellent track record over the next 5-10 years.

    DOI: 10.1088/1361-6463/ac5e1c

    Web of Science

    Scopus

  • Spatio-temporal measurements Ar 2p1 excitation rates and optical emission spectroscopy by capacitively coupled Ar and Ne mixed gas plasma Reviewed International journal

    M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS Adv.   7 ( 31 )   918 - 922   2022.7   ISSN:2731-5894 eISSN:2059-8521

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    We investigated the Ar mixture ratio dependence of the high-energy electron behaviors in capacitively coupled Ar+Ne plasmas using Optical Emission Spectroscopy (OES) and Phase-Resolved Optical Emission Spectroscopy (PROES) methods. OES measurements showed that optical emission intensities of Ar and Ne decreased as Ar mixture ratio increased, which implied decreases in the excitation rates of Ar and Ne. The spatio-temporal distribution of the Ar I 2p1 excitation rate was measured using the PROES method. These measurements showed the Ar I 2p1 excitation rate decreased as the Ar mixture ratio increased, which was consistent with the OES results. These results implied that the collision frequency between electrons and neutral particles increased with increase in Ar mixture ratio. In addition, the sheath expansion width in one RF cycle became small with increasing Ar mixture ratio, which led to a weakening of the effect of stochastic heating and a decrease of the electron temperature.

    DOI: 10.1557/s43580-022-00306-2

    Web of Science

    Scopus

    researchmap

    Other Link: https://link.springer.com/article/10.1557/s43580-022-00306-2/fulltext.html

  • Treatment of organic wastewater by a combination of non-thermal plasma and catalyst: a review Reviewed International journal

    P. Attri, K. Koga, T. Okumura, F. L. Chawarambwa, T. E. Putri, Y. Tsukada, K. Kamataki, N. Itagaki, M. Shiratani

    Rev. Mod. Plasma Phys   6 ( 1 )   2022.7   ISSN:2367-3192 eISSN:2367-3192

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    Recently, non-thermal plasma technology has been frequently used for wastewater treatment. Plasma technology uses the effect of high-energy electrons, reactive species, ultraviolet light, free radicals, and pyrolysis to treat wastewater. Although in many cases, only the use of non-thermal plasma alone is not successful in degrading the complex organic wastes. This might be because of complexity in wastewater or not appropriate plasma device for wastewater treatment, or improper use of plasma-generated species that plays a critical role in organic waste degradation. To increase the degradation efficiency and reduce treatment time, the combination of non-thermal plasma and catalysts (homogeneous and heterogeneous) improves pollutant removal. This review includes the different non-thermal plasma systems and their action on decolorizing or degradation of dyes, degradation of phenolic pollutants, and degradation of pharmaceutical products, including antibiotics and other volatile organic solvents (VOC’s) with and without catalyst. Finally, probable mechanisms and suggestions to improve the wastewater treatment using non-thermal plasma were put forward. This review aims to help researchers understand the role of treatment time, feed gases, and catalysts on the degradation of organic wastes and looks forward to all possible developments in this field.

    DOI: 10.1007/s41614-022-00077-1

    Web of Science

    Scopus

    researchmap

    Other Link: https://link.springer.com/article/10.1007/s41614-022-00077-1/fulltext.html

  • Raman spectral analysis of the as-deposited aC: H films prepared by CH4+ Ar plasma CVD Reviewed International journal

    S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani

    MRS Adv.   7 ( 30 )   718 - 722   2022.7   ISSN:2731-5894 eISSN:2059-8521

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:SPRINGER HEIDELBERG  

    Applicability of precise Raman spectral analysis of a-C:H films deposited using a plasma chemical vapor deposition (CVD) method has been discussed based on the sensitivity to initial conditions in peak separation. The spectral analysis offers to deconvolute the spectra into five peaks, while the as-deposited films prepared by plasma CVD is difficult to the five-peak separation. We found the peak position and the peak height ratio of the D-band to the G(+)-band can be employed to discuss the structure of the as-deposited films. We examined the structural difference between the films deposited at the powered electrode and that at grounded electrode. We found graphene nanoribbon-like structures may be formed in the films deposited on the grounded substrate. This result suggests that the substrate position is an important factor to form the graphene nanoribbon-like structure.

    DOI: 10.1557/s43580-022-00310-6

    Web of Science

    Scopus

    researchmap

  • Mechanistic Insight into Permeation of Plasma-Generated Species from Vacuum into Water Bulk Reviewed International journal

    J. Razzokov, S. Fazliev, A. Kodirov, P. Attri, Z. Chen, M. Shiratani

    Int. J. Mol. Sci.   23 ( 11 )   2022.6   ISSN:1661-6596 eISSN:1422-0067

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:International Journal of Molecular Sciences  

    Due to their potential benefits, cold atmospheric plasmas (CAPs), as biotechnological tools, have been used for various purposes, especially in medical and agricultural applications. The main effect of CAP is associated with reactive oxygen and nitrogen species (RONS). In order to deliver these RONS to the target, direct or indirect treatment approaches have been employed. The indirect method is put into practice via plasma-activated water (PAW). Despite many studies being available in the field, the permeation mechanisms of RONS into water at the molecular level still remain elusive. Here, we performed molecular dynamics simulations to study the permeation of RONS from vacuum into the water interface and bulk. The calculated free energy profiles unravel the most favourable accumulation positions of RONS. Our results, therefore, provide fundamental insights into PAW and RONS chemistry to increase the efficiency of PAW in biological applications.

    DOI: 10.3390/ijms23116330

    Web of Science

    Scopus

    PubMed

    researchmap

  • Growth of single crystalline ZnO films on 18%-lattice-mismatched sapphire substrates using buffer layers with three-dimensional islands Reviewed International journal

    Y. Nakamura, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    Cryst. Growth Des.   22 ( 6 )   3770 - 3777   2022.5   ISSN:1528-7483 eISSN:1528-7505

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:American Chemical Society (ACS)  

    Heteroepitaxial growth of single-crystalline zinc oxide (ZnO) films on a c-plane sapphire substrate is an important technology for electronics and optoelectronic devices. Recently, the inverted Stranski-Krastanov (SK) mode has been demonstrated, and it has realized the heteroepitaxial growth of ZnO films on a sapphire substrate by sputtering. In this mode, a 10 nm-thick buffer layer consisting of three-dimensional islands (3D buffer layers) initially forms and relaxes the strain, and then, a two-dimensional ZnO film (2D layer) grows involving small strain. To clarify the correlation between the structural properties of the 3D buffer layers and the 2D layer, we introduce a figure of merit (FOM) of ZnO films: the reciprocal of the product of the full width at half-maximum (FWHM) of the (002) and (101) planes of X-ray rocking curves (XRCs) and root-mean-square (RMS) roughness. We find that the FOM of the 2D layers correlates with the RMS roughness, the in-plane orientation, and the lateral correlation length ζ of the surfaces of the buffer layers. We observe a surprisingly high correlation coefficient of 0.97. Our results imply that on the buffer layers with larger ζ, adatoms more easily reach the thermodynamically favored lattice positions. Thus, high-quality single-crystalline ZnO films, where the (002) plane XRC-FWHM and the RMS roughness are 0.05° and 1.5 nm, respectively, are grown on the buffer layers with a large ζ of 13.7 nm. This finding provides a useful tool for understanding the mechanism of the inverted SK mode.

    DOI: 10.1021/acs.cgd.2c00145

    Web of Science

    Scopus

    researchmap

  • Performance comparison of nitrile-based liquid electrolytes on bifacial dye-sensitized solar cells under low-concentrated light Reviewed International journal

    T. E. Putri, F. L. Chawarambwa, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    MRS Adv.   7 ( 21 )   427 - 432   2022.4   ISSN:2731-5894 eISSN:2059-8521

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:MRS Advances  

    Abstract: Dye-sensitized solar cell (DSSC) has low power output and efficiency. Even though the low-concentrated light can increase the POUT and power conversion efficiency (PCE) of DSSC, the effect of increase in the cell temperature, particularly electrolyte evaporation, becomes a major concern. In this study, we compared and investigated the performance of acetonitrile (AN-50), propionitrile (PN-50), and 3-metoxy propionitrile (Z-100) as nitrile-based electrolyte under low-concentrated light. The results showed 4–8 times increase in JSC and POUT in all electrolytes. AN-50 demonstrated an improved performance under influence of 2 cm distance concave mirror concentrated light with the highest JSC = 74.21 mA/cm2, POUT = 24.53 mW/cm2, and η = 7.99%. However, the performance of cell with AN-50 and PN-50 started to degrade within 3 h of measurement. In contrast, Z-100 displayed performance stability during 4 days measurement even with the lowest JSC= 49.98 mA/cm2, POUT = 19.50 mW/cm2, and η = 6.35%. Graphical abstract: [Figure not available: see fulltext.]

    DOI: 10.1557/s43580-022-00270-x

    Web of Science

    Scopus

    researchmap

  • The Effects of Spin-Coating Rate on Surface Roughness, Thickness, and Electrochemical Properties of a Pt Polymer Counter Electrode Reviewed International journal

    F. L. Chawarambwa, T. E. Putri, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Advanced Engineering Forum   45   2022.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/p-6l16rl

  • Epitaxial Growth of Zn1-xMgxO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode Using Magnetron Sputtering Reviewed International journal

    D. Takahashi, N. Yamashita, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS Adv.   7 ( 20 )   415 - 419   2022.2   ISSN:2731-5894 eISSN:2059-8521

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    We have succeeded in epitaxial growth of high-quality Zn1−xMgxO films of x = 0.04–0.33 on 18%-lattice mismatched sapphire substrates using magnetron sputtering. The films have grown in inverted Stranski-Krastanov (inverted SK) mode, where a buffer layer consisting of three-dimensional islands initially forms and a relaxed two-dimensional layer subsequently grows on the buffer layer. The resultant films have flat surfaces with root-mean-square roughness of 0.43–0.75 nm and are of high-crystal qualities even for large Mg contents; the full widths at half maximum of (0002) x-ray rocking curves are 0.05° (x = 0.33) and 0.07° (x = 0.14). Furthermore, we observed that the optical absorption edge shifts continuously toward the shorter wavelength with increasing x, and the band gap has been tuned from 3.5 to 4.3 eV. These results show that the inverted SK mode is useful for fabricating high-quality Zn1−xMgxO films with wide-range tunability of band gaps. Graphical abstract: [Figure not available: see fulltext.]

    DOI: 10.1557/s43580-022-00234-1

    Web of Science

    Scopus

    researchmap

    Other Link: https://link.springer.com/article/10.1557/s43580-022-00234-1/fulltext.html

  • 農業応用のための大気圧プラズマ源とその植物への効果

    白谷正治

    化学工業   73 ( 2 )   2022.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Nanostructured Ge and GeSn Films by Highpressure He Plasma Sputtering for High-capacity Li ion Battery Anodes Reviewed International journal

    G. Uchida, K. Nagai, Y. Habu, J. Hayashi, Y. Ikebe, M. Hiramatsu, R. Narishige, N. Itagaki, M. Shiratani, Y. Setsuhara

    Sci. Rep.   12 ( 1 )   1742   2022.2   ISSN:2045-2322 eISSN:2045-2322

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    Abstract

    We fabricated nanostructured Ge and GeSn films using He radio-frequency magnetron plasma sputtering deposition. Monodisperse amorphous Ge and GeSn nanoparticles of 30–40 nm size were arranged without aggregation by off-axis sputtering deposition in the high He-gas-pressure range of 0.1 Torr. The Ge film porosity was over 30%. We tested the charge/discharge cycle performance of Li-ion batteries with nanostructured Ge and GeSn anodes. The Ge anode with a dispersed arrangement of nanoparticles showed a Li-storage capacity of 565 mAh/g after the 60th cycle. The capacity retention was markedly improved by the addition of 3 at% Sn in Ge anode. The GeSn anode (3 at% Sn) achieved a higher capacity of 1128 mAh/g after 60 cycles with 92% capacity retention. Precise control of the nano-morphology and electrical characteristics by a single step procedure using low temperature plasma is effective for stable cycling of high-capacity Ge anodes.

    DOI: 10.1038/s41598-022-05579-z

    Web of Science

    Scopus

    PubMed

    researchmap

    Other Link: https://www.nature.com/articles/s41598-022-05579-z

  • Outcomes of Pulsed Electric Fields and Nonthermal Plasma Treatments on Seed Germination and Protein Functions Reviewed International journal

    P. Attri, T. Okumura, K. Koga, M. Shiratani, D. Wang, K. Takahashi, K. Takaki

    Agronomy 2022   12 ( 2 )   2022.2   eISSN:2073-4395

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Agronomy  

    To meet the needs of the hungry population, it is critical to boost agricultural product production while minimizing contaminated waste. The use of two nonthermal technologies, pulsed electric field (PEF) and nonthermal plasma (NTP), is increasing every day. As both PEF and NTP are relatively newer areas, there is limited knowledge about these two technologies and their modes of action. Studies showed that PEF treatment on the plant seeds helps germination and seedling growth. The positive impact of PEF intensity is highly dependent on the seed coat type and plant species. Another nonthermal technology, NTP, affects seed germination, seedling growth, yield, and resilience to abiotic stress when generated at varying pressures with and without different feed gases. Early germination, germination rate, and germination percentage were all improved when the seedlings were treated with NTP. Similarly to the PEF treatment, NTP had a negative or no effect on germination. This review examined the effects of PEF and NTP on seed germination and ana-lyzed the situation and mechanism behind the positive or negative effect. Deactivation of proteins and enzymes to extend the shelf life of beverages is another prominent application of PEF and NTP. The interaction of PEF and NTP with proteins aids in understanding the microscopic mechanism of these technologies. Therefore, we covered in this review the potential structural and functional changes in proteins/enzymes as a result of PEF and NTP, as well as a comparison of the benefits and drawbacks of these two technologies.

    DOI: 10.3390/agronomy12020482

    Web of Science

    Scopus

    researchmap

  • Effect of gas flow rate and discharge volume on CO2 methanation with plasma catalysis Reviewed International journal

    S. Toko, M. Ideguchi, T. Hasegawa, T. Okumura, K. Kamataki, K.Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    Jpn. J. Appl. Phys.   61 ( SI )   2022.1   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    CO2 methanation can be a key technology for realizing a sustainable society. CH4 is used as an energy carrier and raw material for chemical products, thereby contributing to the reduction of CO2 emissions. Methanation with plasma catalysis lowers the process temperature, which can improve the throughput and stability. In this study, we investigated the effect of the gas flow rate and the discharge volume on CO2 methanation, using a low-pressure capacitively coupled plasma reactor. Higher gas flow rates can increase the rate of CO2 throughput, but the CH4 selectivity decreases owing to the reduced transportation rate of the reactants to the catalyst surface. Increasing the discharge volume is effective in improving the transportation rate. This study suggested that the structure of the reactor significantly affects the CH4 generation rate.

    DOI: 10.35848/1347-4065/ac4822

    Web of Science

    Scopus

    researchmap

  • Improved luminescence performance of Yb3+-Er3+-Zn2+: Y2O3 phosphor and its application to solar cells Reviewed International journal

    F. L. Chawarambwa, T. E. Putri, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, K. Koga, D. Nakamura. M. Shiratani

    Optical Materials   123   2022.1   ISSN:0925-3467 eISSN:1873-1252

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Optical Materials  

    Upconversion materials (UC) can convert low-energy photons into visible light and, therefore, can be incorporated in solar cells to increase the absorption of visible light. This study synthesized UC nanophosphors Yb3+, Er3+: Y2O3 and Yb3+, Er3+, Zn2+: Y2O3 by a simple co-precipitation method for application in dye-sensitized solar cells (DSSCs). The impact of the enhancement in the concentration of Zn2+ on the photoluminescence (PL) and color point of the synthesized nanophosphors was also investigated. The synthesized nanophosphors emitted intense red and weaker green emissions upon excitation at 980 nm. The incorporation of Zn2+ to the Yb3+, Er3+: Y2O3 nanophosphors leads to color tunability in the red and yellow regions. Furthermore, the synthesized nanophosphors were incorporated into the DSSC photoanode to form a TiO2-UC-based DSSC for converting near-infrared (NIR) into visible light. We observed that the TiO2-UC-based DSSC showed an enhancement ratio in current density and power conversion efficiency of 17.4% and 16.6%, respectively, compared to the bare TiO2-based DSSC. These results reveal that UC-based Yb3+, Er3+, Zn2+: Y2O3 nanophosphors are useful in improving the efficiency of DSSCs and in color tunability applications.

    DOI: 10.1016/j.optmat.2021.111928

    Web of Science

    Scopus

    researchmap

  • Performances of Carbon Black-Titanium nitrate and Carbon Black-Titanium/Triton X-100 Composite Polymer Counter Electrodes for Dye-Sensitized Solar Cells Reviewed International journal

    F. L. Chawarambwa, T. E. Putri, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Adv. Mater. Res.   1168   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/AMR.1168.35

  • Morphological control of nanostructured Ge films in high Ar-gas-pressure plasma sputtering process for Li ion batteries Reviewed International journal

    J.Hayashi, K. Nagai, Y.Habu, Y. Ikebe, M. Hiramatsu, R. Narishige, N. Itagaki, M. Shiratani, Y. Setsuhara, G. Uchida

    Jpn. J. Appl. Phys.   61 ( SA )   2021.12   ISSN:0021-4922 eISSN:1347-4065

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    We present a study on morphological control of nanostructured Ge films by the Ar gas pressure in plasma sputtering deposition. In the low Ar-gas-pressure range, aggregated islands of amorphous grains are formed on the film surface, while in the high-pressure range of 500 mTorr monodisperse nano-grains of about 30 nm in size are orderly arranged without aggregation. The film porosity shows a high value of over 10%. We tested the charge/discharge cycle performance of Li-ion batteries with nanostructured Ge films as anodes. The battery cell with an ordered arrangement structure maintained a high capacity of 434 mAh g-1 after 40 charge/discharge cycles, while that with an aggregated structure exhibited a rapid degradation of capacity to 5.08-183 mAh g-1. An ordered arrangement of Ge nano-grains with a high porosity, which is realized in a simple one-step procedure using high Ar-gas-pressure plasma sputtering, is effective for the stable cycling of high-capacity metal anodes.

    DOI: 10.35848/1347-4065/ac2b7b

    Web of Science

    Scopus

  • Plasma Treatment Effect on the Paramagnetic Species of Barley Seed Radical’s Intensity: An EPR study Reviewed International journal

    P. Attri, T. Anan, R. Arita, T. Okumura, H. Tanaka, D. Yamashita, K. Matsuo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, K. Kuchitsu, Y. Ishibashi

    Plasma Medicine   10 ( 3 )   2021.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1615/PlasmaMed.2020036353

  • Performance Characteristics of Bifacial Dye-Sensitized Solar Cells with a V-Shaped Low-Concentrating Light System Reviewed International journal

    T. E. Putri, F. L Chawarambwa, M. K. Son, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    ACS Appl. Energy Mater.   4 ( 12 )   2021.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsaem.1c02774

  • Effects of concentrated light on the performance and stability of a quasi-solid electrolyte in dye-sensitized solar cells Reviewed International journal

    F. L. Chawarambwa, T. E. Putri, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Chem. Phys. Lett.   781   2021.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cplett.2021.138986

  • Transport of Nanoparticles in Afterglow Region Using Multi-Hollow Discharge Plasma CVD Reviewed International journal

    K. Koga, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani

    Bull. Am. Phys. Soc.   66 ( 7 )   2021.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Synergetic effect of a polymer and metalloid composite on the electrocatalytic improvement of dye-sensitized solar cells Reviewed International journal

    D. Sakamoto, M. Shiratani, H. Seo

    New J. Chem.   45 ( 38 )   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/D1NJ03643B

  • Passivating antireflection coating of crystalline silicon using i/n a-Si:H/SiN trilayer Reviewed International journal

    S. Nunomura, I. Sakata, A. Sato, M. Lozac’h, T. Misawa, N.Itagaki, M.Shiratani

    J Phys Chem Solids   156   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jpcs.2021.110127

  • Passivating antireflection coating of crystalline silicon using i/n a-Si:H/SiN trilayer Reviewed International journal

    S. Nunomura, I. Sakata, A. Sato, M. Lozac’h, T. Misawa, N.Itagaki, M.Shiratani

    J Phys Chem Solids   156   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jpcs.2021.110127

  • Impact of Reactive Oxygen and Nitrogen Species Produced by Plasma on Mdm2–p53 Complex Reviewed International journal

    P. Attri,H. Kurita, K. Koga, M. Shiratani

    Int. J. Mol. Sci.   22 ( 17 )   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/ijms22179585

  • Green route for ammonium nitrate synthesis: fertilizer for plant growth enhancement Reviewed International journal

    P.Attri, K. Koga, T. Okumura, N. Takeuchi, M. Shiratani

    RSC Adv.   11 ( 46 )   2021.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/D1RA04441A

  • Comparison between Ar+CH4 Cathode and Anode Coupled Capacitively Coupled Plasma Chemical Vapor Deposition of Hydrogenated Amorphous Carbon Films Reviewed International journal

    S. H. Hwang, R. Iwamoto, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    Thin Solid Films   729   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2021.138701

  • Plasma treatment causes structural modifications in lysozyme, and increases cytotoxicity towards cancer cells Reviewed International journal

    P.Attri, N. KumarKaushik, N. Kaushik, D. Hammerschmid, A. Privat-Maldonado, J. Backer, M. Shiratani, E. H. Choi, A. Bogaerts

    Int. J. Biol. Macromol.   182   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.ijbiomac.2021.05.146

  • Sputtering Growth of Metal Oxynitride Semiconductors for Excitonic Devices Reviewed International journal

    R. Narishige, N. Itagaki, M. Shiratani

    5th IEEE Electron Devices Technology & Manufacturing Conference (EDTM)   2021.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/EDTM50988.2021.9420921

  • Highly efficient and transparent counter electrode for application in bifacial solar cells Reviewed International journal

    F. L. Chawarambwa, T. E. Putri, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Chem. Phys. Lett.   768   2021.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cplett.2021.138369

  • Impact of atmospheric pressure plasma treated seeds on germination, morphology, gene expression and biochemical responses Reviewed International journal

    P.Attri, K. Koga, T.Okumura, M. Shiratani

    Jpn. J. Appl. Phys.   60 ( 4 )   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abe47d

  • Synthesis of Yb3+/Ho3+ co-doped Y2O3 nanoparticles and its application to dye sensitized solar cells Reviewed International journal

    F. L. Chawarambwa, T. E. Putri, K. Kamataki, M. Shiratani, K. Koga, N. Itagaki, D. Nakamura

    J. Mol. Struct.   1228   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.molstruc.2020.129479

  • Alterations of DNA Methylation Caused by Cold Plasma Treatment Restore Delayed Germination of Heat-Stressed Rice (Oryza sativa L.) Seeds Reviewed International journal

    C. Suriyasak, K. Hatanaka, H. Tanaka, T. Okumura, D. Yamashita, P. Attri, K. Koga, M. Shiratani, N. Hamaoka, Y. Ishibashi

    ACS Agric. Sci. Technol.   1 ( 1 )   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    プラズマ照射を用いることによって、悪影響が及んでいる高温障害イネの発芽を促進するメカニズムの解明に成功した。

    DOI: 10.1021/acsagscitech.0c00070

  • Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture Reviewed International journal

    P. Attri, K. Ishikawa, T. Okumura, K. Koga, M. Shiratani, V. Mildaziene

    Sci. Rep.   11 ( 1 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-021-81175-x

  • Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs) Reviewed International journal

    T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Mater. Sci. Forum   1016   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/MSF.1016.863

  • Possible impact of plasma oxidation on the structure of C-terminal domain of SARS-CoV-2 spike protein: a computational study Reviewed International journal

    P. Attri, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   14 ( 2 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/abd717

  • Long-term response of Norway spruce to seed treatment with cold plasma: dependence of the effects on the genotype Reviewed International journal

    V. Sirgedaitė‐Šėžienė , V. Mildažienė, P. Žemaitis , A. Ivankov , K. Koga, M. Shiratani, V. Baliuckas

    Plasma Process Polym   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000159

  • Time of Flight Size Control of Carbon Nanoparticles Using Ar+CH4 Multi-Hollow Discharge Plasma Chemical Vapor Deposition Method Reviewed International journal

    S. H. Hwang, K. Koga, Y. Hao, P. Attri, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani

    Processes   9 ( 1 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/pr9010002

  • Structural modification of NADPH oxidase activator (Noxa 1) by oxidative stress: An experimental and computational study Reviewed International journal

    P. Attri, J. H. Park, J. D. Backer, M. Kim, J. H. Yun, Y. Heo, S. Dewilde, M. Shiratani, E. H. Choi, W. Lee, A. Bogaerts

    Int. J. Biol. Macromol.   163   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.ijbiomac.2020.09.120

  • Size and flux of carbon nanoparticles synthesized by Ar+CH4 multi-hollow plasma chemical vapor deposition Reviewed International journal

    S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Diam Relat Mater   109   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.diamond.2020.108050

  • Graphene-Si3N4 nanocomposite blended polymer counter electrode for low-cost dye-sensitized solar cells Reviewed International journal

    F. L. Chawarambwa, T. E. Putri, M. K. Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Chem. Phys. Lett.   758   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cplett.2020.137920

  • Experimental identification of the reactive oxygen species transported into a liquid by plasma irradiation Reviewed International journal

    T. Kawasaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   59 ( 11 )   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abc3a1

  • Impact of surface morphologies of substrates on the epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films Reviewed International journal

    R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    Jpn. J. Appl. Phys.   60 ( SA )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abba0c

  • Low stress diamond-like carbon films containing carbon nanoparticles fabricated by combining rf sputtering and plasma chemical vapor deposition Reviewed International journal

    S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    Jpn. J. Appl. Phys.   59 ( 10 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abbb20

  • Cold plasma treatment of sunflower seeds modulates plant-associated microbiome and stimulates root and lateral organ growth Reviewed International journal

    I. Tamošiūnė, D. Gelvonauskienė, P. Haimi, V. Mildažienė, K. Koga, M. Shiratani, D. Baniulis

    Front. Plant Sci.   11   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3389/fpls.2020.568924

  • Plasma agriculture from laboratory to farm: A review Reviewed International journal

    P. Attri, K. Ishikawa, T. Okumura, K. Koga, M. Shiratani

    Processes   8 ( 8 )   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/pr8081002

  • Real-time monitoring of surface passivationof crystalline silicon during growth of amorphous and epitaxial silicon layer Reviewed International journal

    S. Nunomura, I. Sakata, H. Sakakita, K. Koga, M. Shiratani

    J. Appl. Phys.   128 ( 3 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0011563

  • Influence of alkyl chain substitution of ammonium ionic liquids on the activity and stability of tobacco etch virus protease Reviewed International journal

    P. Attri, S. Choi, M. Kim, M. Shiratani, A. E. Cho, W. Lee

    International Journal of Biological Macromolecules   155   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab656c

  • Cold plasma treatment of Arabidopsis thaliana (L.) seeds modulates plant-associated microbiome composition Reviewed International journal

    I. Tamošiūnė, D. Gelvonauskienė, L. Ragauskaitė, K. Koga, M. Shiratani, D. Baniulis

    Applied Physics Express   13   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ab9712

  • Characteristics of crystalline sputtered LaFeO3 thin films as photoelectrochemical water splitting photocathodes Reviewed International journal

    M.K. Son, H. Seo, M. Watanabe, M. Shiratani, T. Ishihara

    Nanoscale   12 ( 17 )   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/d0nr01762k

  • Influence of osmolytes and ionic liquids on the Bacteriorhodopsin structure in the absence and presence of oxidative stress: A combined experimental and computational study Reviewed International journal

    P. Attri, J. Razzokov, M. Yusupov, K. Koga, M. Shiratani, A. Bogaerts

    International Journal of Biological Macromolecules   148   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.ijbiomac.2020.01.179

  • Growth of single crystalline films on lattice-mismatched substrates through 3D to 2D mode transition Reviewed International journal

    N. Itagaki, Y. Nakamura, R. Narishige, K. Takeda, K. Kamataki, K. Koga, M. Hori, M. Shiratani

    Sci. Rep.   10   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-61596-w

  • Effects of surrounding gas on plasma-induced downward liquid flow Reviewed International journal

    T. Kawasaki, K. Nishida, G. Uchida, F. Mitsugi, K. Takenaka, K. Koga, Y. Setsuhara, M. Shiratani

    Jpn. J. Appl. Phys.   59 ( SH )   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab71dc

  • Impact of radish sprouts seeds coat color on the electron paramagnetic resonance signals after plasma treatment Reviewed International journal

    K. Koga, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene

    Jpn. J. Appl. Phys.   59 ( SH )   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab7698

  • Relationship between cold plasma treatment-induced changes in radish seed germination and phytohormone balance Reviewed International journal

    L. D. Fomins, G. Pauzaite, R. Zukiene, V. Mildaziene, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   59   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab656c

  • Improved Nanoscale Al-doped ZnO with a ZnO Buffer Layer Fabricated by Nitrogen-mediated Crystallization for Flexible Optoelectronic Devices Reviewed International journal

    I. Suhariadi, N. Itagaki, M. Shiratani

    ACS Appl. Nano Mater.   3   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsanm.9b02571

  • Effect of hydrogen dilution on the silicon cluster volume fraction of a hydrogenated amorphous silicon film prepared using plasma-enhanced chemical vapor deposition Reviewed International journal

    Y. Kim, K. Koga, M. Shiratani

    Curr. Appl. Phys.   20 ( 1 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cap.2019.11.001

  • Effect of hydrogen dilution on the silicon cluster volume fraction of a hydrogenated amorphous silicon film prepared using plasma-enhanced chemical vapor deposition Reviewed International journal

    Y. Kim, K. Koga, M. Shiratani

    Curr. Appl. Phys.   20 ( 1 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cap.2019.11.001

  • Dielectric barrier discharge plasma treatment-induced changes in sunflower seed germination, phytohormone balance, and seedling growth Reviewed International journal

    R. Zukiene, Z. Nauciene, I. Januskaitiene, G. Pauzaite, V. Mildaziene, K. Koga, M. Shiratani

    Appl. Phys. Express   12 ( 12 )   2019.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/ab5491

  • Dielectric barrier discharge plasma treatment-induced changes in sunflower seed germination, phytohormone balance, and seedling growth Reviewed International journal

    R. Zukiene, Z. Nauciene, I. Januskaitiene, G. Pauzaite, V. Mildaziene, K. Koga, M. Shiratani

    Appl. Phys. Express   12 ( 12 )   2019.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/ab5491

  • Identification and Suppression of Si-H2 Bond Formation at P/I Interface in a-Si:H Films Deposited by SiH4 Plasma CVD Reviewed International journal

    K. Tanaka, H. Hara, S. Nagaishi, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Plasma Fusion Res.   14   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.14.4406141

  • Impact of heterointerface properties of crystalline germanium heterojunction solar cells Reviewed International journal

    S. Nakano, M. Shiratani

    Thin Solid Films   685   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2019.06.028

  • Spatial-Structure of Fluctuation of Amount of Nanoparticles in Amplitude-Modulated VHF Discharge Reactive Plasma Reviewed International journal

    R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Plasma Fusion Res.   14   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.14.4406120

  • Effects of Gas Pressure on the Size Distribution and Structure of Carbon Nanoparticles Using Ar + CH4 Multi-Hollow Discharged Plasma Chemical Vapor Deposition Reviewed International journal

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Plasma Fusion Res.   14   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.14.4406115

  • Effect of Higher-Order Silane Deposition on Spatial Profile of Si-H2/Si-H Bond Density Ratio of a-Si:H Films Reviewed International journal

    L. Shi, K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Plasma Fusion Res.   14   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.14.4406144

  • Local supply of reactive oxygen species into a tissue model by atmospheric-pressure plasma-jet exposure Reviewed International journal

    T. Kawasaki, F. Mitsugi, K. Koga, M. Shiratani

    J. Appl. Phys.   125 ( 21 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5091740

  • Sputter Epitaxy of (ZnO)x(InN)1-x films on Lattice-mismatched Sapphire Substrate Reviewed International journal

    N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS Adv.   4 ( 27 )   1551 - 1556   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2019.17

  • Effects of nitrogen impurity on zno crystal growth on Si substrates Reviewed International journal

    S. Muraoka, L. Jiahao, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS Adv.   4 ( 27 )   1557 - 1563   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2019.28

  • Photoluminescence of (ZnO)0.82 (InN)0.18 films: Incident light angle dependence Reviewed International journal

    N. Miyahara, K. Iwasaki, D. Yamashita, D. Nakamura, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Mater. Sci. Forum   941   2099 - 2103   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/MSF.941.2099

  • Impact of Gamma rays and DBD plasma treatments on wastewater treatment Reviewed International journal

    P. Attri, F. Tochikubo, J. H. Park, E. H. Choi, K. Koga, M. Shiratani

    Scientific reports   8 ( 1 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    The rapid growth in world population brings with it the need for improvement in the current technology for water purification, in order to provide adequate potable water to everyone. Although an advanced oxidation process has been used to purify wastewater, its action mechanism is still not clear. Therefore, in the present study we treat dye-polluted water with gamma rays and dielectric barrier discharge (DBD) plasma. We study the wastewater treatment efficiency of gamma rays and DBD plasma at different absorbed doses, and at different time intervals, respectively. Methyl orange and methylene blue dyes are taken as model dyes. To understand the effects of environment and humidity on the decolorization of these dyes, we use various gas mixtures in the DBD plasma reactor. In the plasma reactor, we use the ambient air and ambient air + other gas (oxygen, nitrogen, and argon) mixtures, respectively, for the treatment of dyes. Additionally, we study the humidity effect on the decolorization of dyes with air plasma. Moreover, we also perform plasma simulation in different environment conditions, to understand which major radicals are generated during the plasma treatments, and determine their probable densities.

    DOI: 10.1038/s41598-018-21001-z

  • Cross-correlation analysis of fluctuations of interactions between nanoparticles and low pressure reactive plasmas Reviewed International journal

    R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Mater. Sci. Forum   941   2104 - 2108   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/MSF.941.2104

  • Effects of sputtering pressure on (ZnO)x(InN)1-x crystal film growth at 450ºC Reviewed International journal

    N. Itagaki, K. Takeuchi, N. Miyahara, K. Imoto, H. Seo, K. Koga, M. Shiratani

    Mater. Sci. Forum   941   2093 - 2098   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/MSF.941.2093

  • Particle behavior and its contribution to film growth in a remote silane plasma Reviewed International journal

    Y. Kim, K. Koga, M. Shiratani

    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films   36 ( 5 )   2018.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5037539

  • The effect of the H2/(H2+Ar) flow-rate ratio on hydrogenated amorphous carbon films grown using Ar/H2/C7H8 plasma chemical vapor deposition Reviewed International journal

    T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka,Y. Setsuhara

    Thin Solid Films   660   891 - 898   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2018.02.035

  • Effects of gas velocity on deposition rate and amount of cluster incorporation into a-Si:H films fabricated by SiH4 plasma chemical vapor deposition Reviewed International journal

    T. Kojima, S. Toko, K. Tanaka, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Plasma Fusion Res.   13   1406082   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.13.1406082

  • Dependence of CO2 Conversion to CH4 on CO2 Flow Rate in Helicon Discharge Plasma Reviewed International journal

    S. Toko, R. Katayama, K. Koga, E. Leal-Quiros, M. Shiratani

    Sci. Adv. Mater.   10 ( 5 )   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/sam.2018.3141

  • Special issue: Plasma and agriculture Reviewed International journal

    M. Gherardi, N. Puač, M. Shiratani

    Plasma Processes & Polymers   15 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201877002

  • Progress in photovoltaic performance of organic/inorganic hybrid solar cell based on optimal resistive Si and solvent modified poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) junction Reviewed International journal

    H. Seo, D. Sakamoto, H. Chou, N. Itagaki, K. Koga, M. Shiratani

    Progress in Photovoltaics: Research and Applications   26 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pip.2961

  • Current Status and Future Prospect of Agricultural Applications using Atmospheric-Pressure Plasma Technologies Reviewed International journal

    M. Ito, Jun-Seok Oh, T. Ohta, M. Shiratani, M. Hori

    Plasma Processes & Polymers   15 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700073

  • Plasma agriculture: A rapidly emerging field Reviewed International journal

    N. Puač, M. Gherardi, M. Shiratani

    Plasma Processes & Polymers   15 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700174

  • 色素増感太陽電池のポリマー対向電極における触媒反応の活性化 Invited

    徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    信学技報 (IEICE Technical Report)   117 ( 334 )   2017.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • プラズマ融合CMPによる大型ダイヤモンド基板の高効率加工とその加工メカニズム

    武田秀俊, 土肥俊郎, 金聖祐, 會田英雄, 白谷正治

    信学技報 (IEICE Technical Report)   117 ( 334 )   2017.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Transportation of reactive oxygen species in a tissue phantom after plasma irradiation Reviewed International journal

    T. Kawasaki, G. Kuroeda, R. Sei, M. Yamaguchi, R. Yoshinaga, R. Yamashita, H. Tasaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   57 ( 1S )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01AG01

  • Low temperature rapid formation of Au-induced crystalline Ge films using sputtering deposition Reviewed International journal

    S. Tanami, D. Ichida, S. Hashimoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Thin Solid Films   641   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2017.02.067

  • Hysteresis in volume fraction of clusters incorporated into a-Si:H films deposited by SiH4 plasma chemical vapor deposition Reviewed International journal

    S. Toko, K. Keya, Y. Torigoe, T. Kojima, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Surf. Coat. Technol.   326 ( Part B )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2017.01.034

  • Impact of an ionic liquid on protein thermodynamics in the presence of cold atmospheric plasma and gamma rays Reviewed International journal

    P. Attri, M. Kim, E. H. Choi, A. E. Cho, K. Koga, M. Shiratani

    Phys. Chem. Chem. Phys.   19 ( 37 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/c7cp04083k

  • Preface - Surface Engineering at the International Vacuum Congress-20 Reviewed International journal

    J. G. Han, L. Martinu, M. Shiratani

    Surf. Coat. Technol.   326 ( Part B )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2017.08.029

  • Performance enhancement of quantum dot-sensitized solar cells based on polymer nano-composite catalyst Reviewed International journal

    H. Seo, C. V.V.M. Gopi, H.-J. Kim, N. Itagaki, K. Koga, M. Shiratani

    Electrochimica Acta   249   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.electacta.2017.08.030

  • The protective action of osmolytes on the deleterious effects of gamma rays and atmospheric pressure plasma on protein conformational changes Reviewed International journal

    P. Attri, M. Kim, T. Sarinont, E. H. Choi, H. Seo, A. E. Cho, K. Koga, M. Shiratani

    Scientific Reports   7   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-017-08643-1

  • Synthesis of Nanoparticles using Low Temperature Plasmas and Its Application to Solar Cells and Tracers in Living Body Reviewed International journal

    K. Koga, H. Seo, A. Tanaka, N. Itagaki, M. Shiratani

    ECS Transactions   77 ( 3 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/07703.0017ecst

  • 低温プラズマによるナノ粒子の合成と太陽電池への応用

    古閑一憲, 徐鉉雄, 板垣奈穂, 白谷正治

    信学技報 (IEICE Technical Report)   117 ( 8 )   2017.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • GaN基板のプラズマ融合CMP技術 : エタノールバブリング・Arプラズマを用いたプラズマ融合CMP特性とその評価

    山崎直樹, 土肥俊郎, 曾田英雄, 金聖祐, 大山幸希, 黒河周平, 佐野泰久, 白谷正治, 山西陽子

    信学技報 (IEICE Technical Report)   117 ( 7 )   2017.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Enhanced light harvesting and charge recombination control with TiO2/PbCdS/CdS based quantum dot-sensitized solar cells Reviewed International journal

    H.-J. Kim, G.-C. Xu, C. V.V.M. Gopi, H. Seo, M. Venkata-Haritha, M. Shiratani

    Journal of Electroanalytical Chemistry   788   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jelechem.2017.02.005

  • Densities and surface reaction probabilities of oxygen and nitrogen atoms during sputter deposition of ZnInON on ZnO Reviewed International journal

    K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    IEEE Trans. Plasma Science   45 ( 2 )   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2016.2632124

  • Plant Growth Enhancement of Seeds Immersed in Plasma Activated Water Reviewed International journal

    T. Sarinont, R. Katayama, Y. Wada, K. Koga, M. Shiratani

    MRS Adv.   2 ( 18 )   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2017.178

  • Response of Silkworm Larvae to Atmospheric Pressure Non-thermal Plasma Irradiation Reviewed International journal

    T. Sarinont, Y. Wada, K. Koga, M. Shiratani

    Plasma Medicine   6 ( 3-4 )   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1615/PlasmaMed.2017019137

  • 難加工材料のプラズマ融合CMPプロセスの開発

    山崎直樹, 土肥俊郎, 曾田英雄, 金聖祐, 大山幸希, 白谷正治, 山西陽子

    精密工学会学術講演会講演論文集   2017S   2017.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Biogenic reductive preparation of magnetic inverse spinel iron oxide nanoparticles for the adsorption removal of heavy metals Reviewed International journal

    L. P. Lingamdinne, Y. Chang, J.-K. Yang, J. Singh, E. H. Choi, M. Shiratani, J. R. Koduru, P. Attri

    Chemical Engineering Journal   307   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cej.2016.08.067

  • Effects of sputtering gas pressure dependence of surface morphology of ZnO films fabricated via nitrogen mediated crystallization Reviewed International journal

    K. Iwasaki, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    MRS Adv.   2 ( 5 )   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2016.617

  • Blue Photoluminescence of (ZnO)0.92(InN)0.08 Reviewed International journal

    K. Matsushima, K. Iwasaki, N. Miyahara, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    MRS Adv.   2 ( 5 )   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2016.625

  • Effects of nonthermal plasma jet irradiation on the selective production of H2O2 and NO2- in liquid water Reviewed International journal

    G. Uchida, A. Nakajima, T. Ito, K. Takenaka, T. Kawasaki, K. Koga, M. Shiratani, Y. Setsuhara

    J. Appl. Phys.   120 ( 20 )   2016.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4968568

  • Fluctuation of Position and Energy of a Fine Particle in Plasma Nanofabrication Reviewed International journal

    M. Shiratani, M. Soejima, H. Seo, N. Itagaki, K. Koga

    Materials Science Forum   879   2016.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/MSF.879.1772

  • Variation in structure of proteins by adjusting reactive oxygen and nitrogen species generated from dielectric barrier discharge jet Reviewed International journal

    J. H. Park, M. Kim, M. Shiratani, Art. E. Cho, E. Choi & P. Attri

    Scientific Reports   6   2016.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/srep35883

  • Optical Bandgap Energy of Si Nanoparticle Composite Films Deposited by a Multi-Hollow Discharge Plasma Chemical Vapor Deposition Method Reviewed International journal

    S. Toko, Y. Kanemitsu, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    J. Nanosci. Nanotechnol.   16 ( 10 )   2016.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/jnn.2016.13233

  • Mechanism and comparison of needle-type non-thermal direct and indirect atmospheric pressure plasma jets on the degradation of dyes Reviewed International journal

    P. Attri, M. Yusupov, J. H. Park, L. P. Lingamdinne, J. R. Koduru, M. Shiratani, E. Choi & A. Bogaerts

    Scientific Reports   6   2016.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/srep34419

  • Effects of plasma irradiation using various feeding gases on growth of Raphanus sativus L. Reviewed International journal

    T. Sarinont, T. Amano, P. Attri, K. Koga, N. Hayashi, M. Shiratani

    Arch. Biochem. Biophys.   605   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2016.03.024

  • Surface Modification of Polymer Counter Electrode for Low Cost Dye-sensitized Solar Cells Reviewed International journal

    H. Seo, M. K. Son, S. Hashimoto, T. Takasaki, N. Itagaki, K. Koga, M. Shiratani

    Electrochimica Acta   210   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.electacta.2016.06.020

  • Effect of Sulfur Doped TiO2 on Photovoltaic Properties of Dye-Sensitized Solar Cells Reviewed International journal

    H. Seo, S. H. Nam, N. Itagaki, K. Koga, M. Shiratani, and J.-H. Boo

    Electron. Mater. Lett.   12 ( 4 )   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s13391-016-4018-8

  • Correlation between SiH2/SiH and light-induced degradation of p–i–n hydrogenated amorphous silicon solar cells Reviewed International journal

    K. Keya, T. Kojima, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   55 ( 7S2 )   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.07LE03

  • Low temperature synthesis of silicon quantum dots with plasma chemistry control in dual frequency non-thermal plasmas Reviewed International journal

    B. B. Sahu, Y. Yin, J. G. Han, M. Shiratani

    Phys. Chem. Chem. Phys.   18 ( 23 )   2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/c6cp01856d

  • Improving the performance of quantum dot sensitized solar cells through CdNiS quantum dots with reduced recombination and enhanced electron life time Reviewed International journal

    C. V. V. M. Gopi, M. V. Haritha, H. Seo, S. Singh, S.-K. Kim, M. Shiratani, H. Kim

    Dalton Trans.   45 ( 20 )   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/C6DT00283H

  • Effects of irradiation distance on supply of reactive oxygen species to the bottom of a Petri dish filled with liquid by an atmospheric O2/He plasma jet Reviewed International journal

    T. Kawasaki, S. Kusumegi, A. Kudo, T. Sakanoshita, T. Tsurumaru, A. Sato, G. Uchida, K. Koga and M. Shiratani

    J. Appl. Phys.   119   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4948430

  • Improvement of Charge Transportation in Si Quantum Dot-Sensitized Solar Cells Using Vanadium Doped TiO2 Reviewed International journal

    H. Seo, D. Ichida, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, S. H. Nam and J. H. Boo

    J. Nanosci. Nanotechnol.   16 ( 5 )   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/jnn.2016.12210

  • Reduced recombination with an optimized barrier layer on TiO2 in PbS/CdS core shell quantum dot sensitized solar cells Reviewed International journal

    D. Punnoose, CH. S. S. P. Kumar, A. E. Reddy, S. S. Rao, C. V. Tulasivarma, S.-K. Kim, H. Seo, M. Shiratani, S.-H. Chung, H. Kim

    New J. Chem.   4 ( 40 )   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/C5NJ02947C

  • R&D status of agricultural applications of high voltage and plasma in Japan Invited International journal

    M. Shiratani, T. Sarinont, K. Koga and N. Hayashi

    Proc. Workshop on Application of Advanced Plasma Technologies in CE Agriculture   2016.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • プラズマ加工の現状と将来動向 (特集 プラズマ(加工)とその応用技術の現状と将来動向)

    白谷正治

    光技術コンタクト = Optical and electro-optical engineering contact   54 ( 4 )   2016.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Catalytic Improvement on Counter Electrode of Dye-Sensitized Solar Cells Using Electrospun Pt Nano-Fibers Reviewed International journal

    H. Seo, M. Shiratani, K. Seneekatima, R. Pornprasertsuk

    J. Nanosci. Nanotechnol.   16 ( 4 )   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/jnn.2016.12294

  • Quantum Characterization of Si Nano-Particles Fabricated by Multi-Hollow Discharge Plasma Chemical Vapor Deposition Reviewed International journal

    H. Seo, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani

    Sci. Adv. Mater.   8 ( 3 )   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/sam.2016.2520

  • Polymer Counter Electrode of Poly(3,4-ethylenedioxythiophene):poly(4-styrenesulfonate) Containing TiO2 Nano-particles for Dye-sensitized Solar Cells Reviewed International journal

    H. Seo, M.-K. Son, N. Itagaki, K. Koga, M. Shiratani

    Journal of Power Sources   307   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jpowsour.2015.12.112

  • Room Temperature Fabrication of (ZnO)x(InN)1-x films with Step-Terrace Structure by RF Magnetron Sputtering Reviewed International journal

    K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    MRS Advances   1 ( 2 )   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2015.59

  • Plant Growth Response to Atmospheric Air Plasma Treatments of Seeds of 5 Plant Species Reviewed International journal

    M. Shiratani, T. Sarinont, T. Amano, N. Hayashi, K. Koga

    MRS Adv.   1 ( 18 )   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2016.37

  • Production of In, Au, and Pt nanoparticles by discharge plasmas in water for assessment of their bio-compatibility and toxicity Reviewed International journal

    T. Amano, T. Sarinont, K. Koga, M. Hirata, A. Tanaka, M. Shiratani

    MRS Adv.   1 ( 18 )   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/adv.2016.41

  • Effects of deposition rate and ion bombardment on properties of a-C:H films deposited by H-assisted plasma CVD method Reviewed International journal

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Jpn. J. Appl. Phys.   55 ( 1S )   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AA11

  • Effects of Gas Flow Rate on Deposition Rate and Amount of Si Clusters Incorporated into a-Si:H Films Reviewed International journal

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    Jpn. J. Appl. Phys.   55 ( 1S )   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AA19

  • Simple method of improving harvest by nonthermal air plasma irradiation of seeds of Arabidopsis thaliana (L.) Reviewed International journal

    K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, N. Hayashi, M. Shiratani

    Appl. Phys. Express   9 ( 1 )   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.9.016201

  • Gas flow rate dependence of the discharge characteristics of a plasma jet impinging onto the liquid surface Reviewed International journal

    G. Uchida, A. Nakajima, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    IEEE Trans. Plasma Science   43 ( 12 )   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2015.2488619

  • Influence of ionic liquid and ionic salt on protein against the reactive species generated using dielectric barrier discharge plasma Reviewed International journal

    P. Attri, T. Sarinont, M. Kim, T. Amano, K. Koga, A. E. Cho, E. Choi, M. Shiratani

    Scientific Reports   5   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/srep17781

  • 水素プラズマとカーボン壁の相互作用で発生したダストに対するダスト除去フィルタのダスト除去性能評価

    白谷正治, 古閑一憲, 立石瑞樹, 片山龍, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    九州大学超顕微解析研究センター報告   39   2015.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Synthesis of Indium-Containing Nanoparticles in Aqueous Suspension Using Plasmas in Water for Evaluating Their Kinetics in Living Body Reviewed International journal

    T. Amano, T. Sarinont, K. Koga, M. Hirata, A. Tanaka, M. Shiratani

    J. Nanosci. Nanotechnol.   15 ( 11 )   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/jnn.2015.11427

  • Synthesis of indium-containing nanoparticles using plasmas in water to study their effects on living body Reviewed International journal

    T. Amano, K. Koga, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, S. Kitazaki, M. Hirata, Y. Nakatsu, A. Tanaka

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Discharge characteristics and hydrodynamics behaviors of atmospheric plasma jets produced in various gas flow patterns Reviewed International journal

    Y. Setsuhara, G. Uchida, A. Nakajima, K. Takenaka, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Gas flow rate dependence of the production of reactive oxygen species in liquid by a plasma-jet irradiation Reviewed International journal

    G. Uchida, A. Nakajima, T. Kawasaki, K. Koga, K. Takenaka, M. Shiratani, Y. Setsuhara

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Attraction during binary collision of fine particles in Ar plasma Reviewed International journal

    M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Cluster Incorporation into A-Si:H Films Deposited Using H 2 +SiH 4 Discharge Plasmas Reviewed International journal

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas Reviewed International journal

    T. Ito, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, T. Kobayashi, S. Inagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition rate and etching rate due to neutral radicals and dust particles measured using QCMs together with a dust eliminating filter Reviewed International journal

    R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, M. Tokitani, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of Ambient Humidity on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation to Plant Seeds Reviewed International journal

    T. Sarinont, T. Amano, K. Koga, S. Kitazaki, N. Hayashi, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of electrode structure on characteristics of multi-hollow discharges Reviewed International journal

    Y. Torigoe, K. Keya, S. Toko, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of N2 dilution on fabrication of Ge nanoparticles by rf sputtering Reviewed International journal

    S. Hashimoto, S. Tanami, H. Seo, G. Uchida, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Laser trapped single fine particle as a probe of plasma parameters Reviewed International journal

    D. Yamashita, M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization Reviewed International journal

    T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films Reviewed International journal

    K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films Reviewed International journal

    T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Raman Spectroscopy of a-C:H Films Deposited Using Ar + H2+ C7H8 Plasma CVD Reviewed International journal

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Raman spectroscopy of PIN hydrogenated amorphous silicon solar cells Reviewed International journal

    K. Keya, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Simple Evaluation Method of Atmospheric Plasma Irradiation Dose using pH of Water Reviewed International journal

    K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, Y. Nakatsu, A. Tanaka, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Structural alternation of tandem dye-sensitized solar cells based on mesh-type of counter electrode Reviewed International journal

    H. Seo, S. Hashimoto, D. Ichida, N. Itagaki, K. Koga and M. Shiratani

    Electrochimica Acta   179   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.electacta.2015.04.105

  • Substrate temperature dependence of Au-induced crystalline Ge film formation using sputtering deposition Reviewed International journal

    S. Tanami, D. Ichida, D. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 68th GEC/9th ICRP/33rd SPP   60 ( 9 )   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Real-time mass measurement of dust particles deposited on vessel wall in a divertor simulator using quartz crystal microbalances Reviewed International journal

    M. Tateishi, K. Koga, R. Katayama, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experiment Group

    J. Nucl. Mater.   463   2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jnucmat.2014.10.049

  • Fabrication of ZnInON/ZnO multi-quantum well solar cells Reviewed International journal

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Thin Solid Films   587   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2015.01.012

  • Effects of gas flow on oxidation reaction in liquid induced by He/O2 plasma-jet irradiation Reviewed International journal

    A. Nakajima, G. Uchida, T. Kawasaki, K. Koga, T. Sarinont, T. Amano, K. Takenaka, M. Shiratani, Y. Setsuhara

    J. Appl. Phys.   118 ( 4 )   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4927217

  • Effects of cluster incorporation into hydrogenated amorphous silicon films in initial discharge phase on film stability Reviewed International journal

    S. Toko, Y. Torigoe, W. Chen, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Thin Solid Films   587   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2015.02.052

  • Effects of discharge voltage on the characteristics of a-C:H films prepared by H-assisted Plasma CVD method Reviewed International journal

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Trans. Mater. Res. Soc. Jpn.   40 ( 2 )   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.40.123

  • Antioxidative activity and growth regulation of Brassicaceae induced by oxygen radical irradiation Reviewed International journal

    N. Hayashi, R. Ono, M. Shiratani, A. Yonesu

    Jpn. J. Appl. Phys.   54 ( 6S2 )   2015.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GD01

  • Fabrication of p-i-n solar cells utilizing ZnInON by RF magnetron sputtering Reviewed International journal

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Mat. Res. Soc. Symp. Proc.   1741   2015.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2015.248

  • ZnO-based semiconductors with tunable band gap for solar sell applications Invited Reviewed International journal

    N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    Proc. SPIE photonics west 2015   9364   2015.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1117/12.2078114

  • Effects of morphology of buffer layers on ZnO/sapphire heteroepitaxial growth by RF magnetron sputtering Reviewed International journal

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Mat. Res. Soc. Symp. Proc.   1741   2015.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2015.87

  • Effects of Atmospheric Air Plasma Irradiation to Seeds of Radish Sprouts on Chlorophyll and Carotenoids Concentrations in their Leaves Reviewed International journal

    T. Sarinont, T. Amano, K. Koga, M. Shiratani, N. Hayashi

    Mat. Res. Soc. Symp. Proc.   1723   2015.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2015.39

  • Comparative Study on the Pulmonary Toxicity of Indium Hydroxide, Indium-Tin Oxide, and Indium Oxide Following Intratracheal Instillations into the Lungs of Rats Reviewed International journal

    A. Tanaka, M. Hirata, N. Matsumura, K. Koga, M. Shiratani, Y. Kiyohara

    Mat. Res. Soc. Symp. Proc.   1723   2015.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2015.21

  • Multigeneration Effects of Plasma Irradiation to Seeds of Arabidopsis Thaliana and Zinnia on Their Growth Reviewed International journal

    T. Sarinont, T. Amano, K. Koga, M. Shiratani, N. Hayashi

    Mat. Res. Soc. Symp. Proc.   1723   2015.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2015.12

  • 反応性微粒子プラズマプロセスを用いたゲルマニウム結晶ナノ粒子含有膜の堆積と量子ドット太陽電池への応用 Reviewed

    内田儀一郎, 市田大樹, 徐鉉雄, 古閑一憲, 白谷正治

    スマートプロセス学会誌   4 ( 1 )   2015.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Photovoltaic application of Si nanoparticles fabricated by multihollow plasma discharge CVD: Dye and Si co-sensitized solar cells Reviewed International journal

    H. Seo, D. Ichida, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani

    Jpn. J. Appl. Phys.   54 ( 1S )   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AD02

  • Synthesis and characterization of ZnInON semiconductor: a ZnO-based compound with tunable band gap Reviewed International journal

    N. Itagaki, K. Matsushima, D. Yamashia, H. Seo, K. Koga, M. Shiratani

    Mater. Res. Express   1 ( 3 )   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/2053-1591/1/3/036405

  • Growth mechanism of ZnO deposited by nitrogen mediated crystallization Reviewed International journal

    I. Suhariadi, M. Shiratani, N. Itagaki

    Mater. Res. Express   1 ( 3 )   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/2053-1591/1/3/036403

  • Performance enhancement of dye and Si quantum dot hybrid nanostructured solar cell with TiO2 barrier Reviewed International journal

    H. Seo, D. Ichida, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani

    Trans. Mater. Res. Soc. Jpn.   39 ( 3 )   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.39.321

  • Off-axis sputter deposition of ZnO films on c-sapphire substrates by utilizing nitrogen-mediated crystallization method Reviewed International journal

    N. Itagaki, K. Kuwahara, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    Opt. Engineering   53 ( 8 )   2014.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1117/1.OE.53.8.087109

  • Dust Hour Glass in a Capacitive RF Discharge Reviewed International journal

    S. Iwashita, E. Schungel, J. Schulze, P. Hartmann, Z. Donko, G. Uchida, K. Koga, M. Shiratani, U. Czarnetzki

    IEEE Trans. Plasma Science   42 ( 10 )   2014.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2014.2343975

  • SiC Nanoparticle Composite Anode for Li-Ion Batteries Reviewed International journal

    M. Shiratani, K. Kamataki, G. Uchida, K. Koga, H. Seo, N. Itagaki, T. Ishihara

    Mat. Res. Soc. Symp. Proc.   1678   2014.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2014.742

  • Plasma induced long-term growth enhancement of Raphanus sativus L. using combinatorial atmospheric air dielectric barrier discharge plasmas Reviewed International journal

    S. Kitazaki, T. Sarinont, K. Koga, N. Hayashi, M. Shiratani

    Curr. Appl. Phys.   14 ( 2 )   2014.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cap.2013.11.056

  • Plasma etching of single fine particle trapped in Ar plasma by optical tweezers Reviewed International journal

    T. Ito, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida and M. Shiratani

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012014

  • Control of the area irradiated by the sheet-type plasma jet in atmospheric pressure Reviewed International journal

    T. Kawasaki, K. Kawano, H. Mizoguchi, Y. Yano, K. Yamashita, M. Sakai, G. Uchida, K. Koga, M. Shiratani

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012016

  • Development and Progress in Thin Film Si Photovoltaic Technologies by Photovoltaic Power Generation Technology Research Association Reviewed International journal

    I. Yoshida, T. Matsui, H. Sai, T. Suezaki, H. Katayama, M. Matsumoto, S. Sugiyama, T. Masuda, M. Ushijima, S. Nonomura, M. Shiratani, M. Konagai, K. Saito, M. Kondo, M. Tanaka, S. Niki

    Proc. 40th IEEE PVSC   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/PVSC.2014.6925520

  • Formation of carbon nanoparticle using Ar+CH4 high pressure nanosecond discharges Reviewed International journal

    K. Koga, X. Dong, S. Iwashita, U. Czarnetzki and M. Shiratani

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012020

  • Growth enhancement effects of radish sprouts: atmospheric pressure plasma irradiation vs. heat shock Reviewed International journal

    T. Sarinont, T. Amano, S. Kitazaki, K. Koga, G. Uchida, M. Shiratani and N. Hayashi

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012017

  • Preparation of Si nanoparticles by laser ablation in liquid and their application as photovoltaic material in quantum dot sensitized solar cell Reviewed International journal

    P. Chewchinda, K. Hayashi, D. Ichida, H. Seo, G. Uchida, M. Shiratani, O. Odawara and H. Wada

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012023

  • Visualization of the Distribution of Oxidizing Substances in an Atmospheric Pressure Plasma Jet Reviewed International journal

    T. Kawasaki, K. Kawano, H. Mizoguchi, Y. Yano, K. Yamashita, M. Sakai, T. Shimizu, G. Uchida, K. Koga, M. Shiratani

    IEEE Trans. Plasma Science   42 ( 10 )   2014.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2014.2325038

  • Contribution of H2 plasma etching to radial profile of amount of dust particles in a divertor simulator Reviewed International journal

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura and A. Sagara, the LHD Experimental Group

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012009

  • Contribution of ionic precursors to deposition rate of a-Si:H films fabricated by plasma CVD Reviewed International journal

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012008

  • Deposition of crystalline Ge nanoparticle films by high-pressure RF magnetron sputtering method Reviewed International journal

    D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012002

  • Effects of filter gap of cluster-eliminating filter on cluster eliminating efficiency Reviewed International journal

    Y. Hashimoto, S. Toko, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012007

  • Emission spectroscopy of Ar + H-2+ C7H8 plasmas: C7H8 flow rate dependence and pressure dependence Reviewed International journal

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine and M. Hori

    J. Phys. : Conf. Series (SPSM26)   518 ( 1 )   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/518/1/012010

  • スパッタリング成膜法による高品質酸化亜鉛薄膜の形成 Reviewed

    板垣奈穂、古閑一憲、白谷正治

    応用物理   83 ( 5 )   2014.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Sterilization characteristics of the surfaces of agricultural products using active oxygen species generated by atmospheric plasma and UV light Reviewed International journal

    N. Hayashi, Y, Yagyu, A. Yonesu, M. Shiratani

    Jpn. J. Appl. Phys.   53 ( 5S1 )   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.05FR03

  • Nanostructure Control of Si and Ge Quantum Dots Based Solar Cells Using Plasma Processes Reviewed International journal

    M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, and K. Kamataki

    Materials Science Forum   783-786   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/MSF.783-786.2022

  • Performance dependence of Si quantum dot-sensitized solar cells on counter electrode Reviewed International journal

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   53 ( 5S1 )   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.05FZ01

  • Study on the Crystal Growth Mechanism of ZnO Films Fabricated Via Nitrogen Mediated Crystallization Reviewed International journal

    I. Suhariadi, K. Oshikawa, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, and N. Itagaki

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015064

  • Effects of Atmospheric Air Plasma Irradiation on pH of Water Reviewed International journal

    T. Sarinont, K. Koga, S. Kitazaki, G. Uchida, N. Hayashi, M. Shiratani

    JPS Conf. Proc.   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015078

  • The enhancement of dye adsorption in dye-sensitized solar module by an electrical adsorption method Reviewed International journal

    H. Seo, M. Son, H. Kim, M. Shiratani

    Thin Solid Films   554   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.05.153

  • Combinatorial Plasma CVD of Si Nanoparticle Composite Films for Band Gap Control Reviewed International journal

    G. Uchida, Y. Kanemitsu, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015080

  • Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas Reviewed International journal

    M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015083

  • Deposition of Carbon Films on PMMA Using H-assisted Plasma CVD Reviewed International journal

    X. Dong, R. Torigoe, K. Koga, G. Uchida, M. Shiratani, N. Itagaki, Y. Setsuhara, K. Takenaka, M. Sekine, .M. Hori

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015072

  • Effects of Grid DC Bias on Incorporation of Si Clusters into Amorphous Silicon Thin Films in Multi-Hollow Discharge Plasma CVD Reviewed International journal

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015069

  • Effects of H2 Gas Addition on Structure of Ge Nanoparticle Films Deposited by High-pressure RF Magnetron Sputtering Method Reviewed International journal

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015082

  • Electrochemical impedance analysis on the additional layers for the enhancement on the performance of dye-sensitized solar cell Reviewed International journal

    H. Seo, M. Son, S. Park, M. Jeong, H. Kim, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Thin Solid Films   554   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.08.103

  • Off-axis sputter deposition of ZnO films on c-sapphire substrates with buffer layers prepared via nitrogen-mediated crystallization Invited Reviewed International journal

    N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    Proc. SPIE photonics west 2014   8987   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1117/12.2041081

  • Spatial Profile of Flux of Dust Particles Generated due to Interaction between Hydrogen Plasmas and Graphite Target Reviewed International journal

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7566/JPSCP.1.015020

  • Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter Reviewed International journal

    Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of growth enhancement by plasma irradiation to seeds in water Reviewed International journal

    T. Sarinont, K. Koga, S. Kitazaki, M. Shiratani, N. Hayashi

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Fluctuation and Nanotechology Reviewed International journal

    M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Formation of microcones accompanied with ripple patterns in laser-activated plasma CVD of sp(3)-bonded BN films Reviewed International journal

    S. Komatsu and M. Shiratani

    J. Mater. Res.   29 ( 4 )   2014.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/jmr.2014.7

  • Long term growth of radish sprouts after atmospheric pressure DBD plasma irradiation to seeds Reviewed International journal

    T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Multi-generation evaluation of plasma growth enhancement to arabidopsis thaliana (Invited) Invited Reviewed International journal

    K. Koga, T. Sarinont, S. Kitazaki, N. Hayashi, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Sterilization characteristics of agricultural products using active oxygen species generated by plasma and UV light (Invited) Invited Reviewed International journal

    N. Hayashi, Y. Yagyu, A. Yonesu, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Visualization of oxidizing substances generated by atmospheric pressure non-thermal plasma jet with water Reviewed International journal

    T. Kawasaki, K. Kawano, H. Mizoguchi, Y. Yano, K. Yamashita, M. Sakai, G. Uchida, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas Reviewed International journal

    M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation Reviewed International journal

    Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Analysis on the photovoltaic property of Si quantum dot-sensitized solar cells Reviewed International journal

    H. Seo, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Int. J. Precision Eng. Manuf.   15 ( 2 )   2014.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s12541-014-0343-8

  • Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method Reviewed International journal

    Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited) Invited Reviewed International journal

    K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering Reviewed International journal

    S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method Reviewed International journal

    Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Epitaxial growth of ZnO films on sapphire substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization Reviewed International journal

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering Reviewed International journal

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries Reviewed International journal

    G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method Reviewed International journal

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation Reviewed International journal

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization Reviewed International journal

    K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method Reviewed International journal

    T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Pressure dependence of carbon film deposition using H-assisted plasma CVD Reviewed International journal

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Quantum characterization and photovoltaic application of Si nano-particles fabricated by multi-hollow plasma discharge chemical vapor deposition Reviewed International journal

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Raman spectroscopy of a fine particle optically trapped in plasma Reviewed International journal

    D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Spatial profile of flux of dust particles in hydrogen helicon plasmas Reviewed International journal

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Sputter Deposition of Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization Reviewed International journal

    T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Sputtering fabrication of a novel widegap semiconductor ZnGaON for optoelectronic devices with wide bandgap for optical device Reviewed International journal

    R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Study on nitrogen desorption behavior of sputtered ZnO for transparent conducting oxide Reviewed International journal

    I. Suhariadi, K. Oshikawa, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Subacute toxicity of gallium arsenide, indium arsenide and arsenic trioxide following intermittent intrantracheal instillations to the lung of rats Reviewed International journal

    A. Tanaka, M. Hirata, K. Koga, N. Itagaki, M. Shiratani, N. Hayashi, G. Uchida

    Proc. 8th Int. Conf. Reactive Plasmas   2014.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Theory for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas Reviewed International journal

    M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, G. Uchida, H. Seo, and N. Itagaki

    Jpn. J. Appl. Phys.   53 ( 1 )   2014.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010201

  • Self-organized formation of hierarchically-ordered structures in laser-activated plasma CVD of sp(3)-bonded BN films Reviewed International journal

    S. Komatsu, M. Shiratani

    Jpn. J. Appl. Phys.   53 ( 1 )   2014.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010202

  • The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si Reviewed International journal

    H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki, M. Shiratani

    Thin Solid Films   546   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.04.073

  • Effects of Nitrogen on Crystal Growth of Sputter-Deposited ZnO Films for Transparent Conducting Oxide Reviewed International journal

    I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    Jpn. J. Appl. Phys.   52 ( 11S )   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NB03

  • Epitaxial Growth of ZnInON Films with Tunable Band Gap from 1.7 eV to 3.3 eV on ZnO Templates Reviewed International journal

    K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    Jpn. J. Appl. Phys.   52 ( 11S )   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NM06

  • Flux Control of Carbon Nanoparticles Generated due to Interactions between Hydrogen Plasmas and Graphite Using DC-Biased Substrates Reviewed International journal

    K. Koga, M. Tateishi, K. Nishiyama, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Muzaki, K. Nishimura, Akiko Sagara, the LHD Experimental Group

    Jpn. J. Appl. Phys.   52 ( 11S )   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NA08

  • Improvement on the Electron Transfer of Dye-Sensitized Solar Cell Using Vanadium Doped TiO2 Reviewed International journal

    H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. Nam, J. Boo

    Jpn. J. Appl. Phys.   52 ( 11S )   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NM02

  • Correlation between Volume Fraction of Silicon Clusters in Amorphous Silicon Films and Optical Emission Properties of Si and SiH Reviewed International journal

    Y. Kim, K. Hatozaki, Y. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, H. Seo, K. Koga, and M. Shiratani

    Jpn. J. Appl. Phys.   52 ( 11S )   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NA07

  • Characteristics of Crystalline Silicon/Si Quantum Dot/Poly(3,4-ethylenedioxythiophene) Hybrid Solar Cells Reviewed International journal

    G. Uchida, Y. Wang, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   52 ( 11S )   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NA05

  • Study on the Fabrication of Paint-Type Si Quantum Dot-Sensitized Solar Cells Reviewed International journal

    H. Seo, M. Son, H. Kim, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   52 ( 10S )   2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.10MB07

  • 研究生産性の向上

    白谷正治

    表面科学   34 ( 10 )   2013.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Extension of operation regimes and investigation of three-dimensional currentless plasmas in the Large Helical Device Reviewed International journal

    O. Kaneko, H. Yamada, S. Inagaki, M. Jakubowski, S. Kajita, S. Kitajima, Kobayashi, K. Koga, T. Morisaki, S. Morita, T. Mutoh, S. Sakakibara, Y. Suzuki, H. Takahashi, K. Tanaka, K. Toi, Y. Yoshimura, T. Akiyama, Y. Asahi, N. Ashikawa, H. Chikaraishi, A. Cooper, D.S. Darrow, E. Drapiko, P. Drewelow, X. Du, A. Ejiri, M. Emoto, T. Evans N. Ezumi, K. Fujii, T. Fukuda, H. Funaba, M. Furukawa, D.A. Gates, M. Goto, T. Goto, W. Guttenfelder, S. Hamaguchi, M. Hasuo, T. Hino, Y. Hirooka, K. Ichiguchi, K. Ida, H. Idei, T. Ido, H. Igami, K. Ikeda, S. Imagawa, T. Imai, M. Isobe, M. Itagaki, T. Ito, K. Itoh, S. Itoh, A. Iwamoto, K. Kamiya, T. Kariya, H. Kasahara, N. Kasuya, D. Kato, T. Kato, K. Kawahata, F. Koike, S. Kubo, R. Kumazawa, D. Kuwahara, S. Lazerson, H. Lee, S. Masuzaki, S. Matsuoka, H. Matsuura, A. Matsuyama, C. Michael, D. Mikkelsen, O. Mitarai, T. Mito, J. Miyazawa, G. Motojima, K. Mukai, A. Murakami, I. Murakami, S. Murakami, T. Muroga, S. Muto, K. Nagaoka, K. Nagasaki, Y. Nagayama, N. Nakajima, H. Nakamura, Y. Nakamura, H. Nakanishi, H. Nakano, T. Nakano, K. Narihara, Y. Narushima, K. Nishimura, S. Nishimura, M. Nishiura, Y.M. Nunami, T. Obana, K. Ogawa, S. Ohdachi, N. Ohno, N. Ohyabu, T. Oishi, M. Okamoto, A. Okamoto, M. Osakabe, Y. Oya1, T. Ozaki, N. Pablant, B.J. Peterson, A. Sagara, K. Saito, R. Sakamoto, H. Sakaue, M. Sasao2, K. Sato, M. Sato, K. Sawada, R. Seki, T. Seki, V. Sergeev, S. Sharapov, I. Sharov, A. Shimizu, T. Shimozuma, M. Shiratani, M. Shoji, S. Sudo, H. Sugama, C. Suzuki, K. Takahata, Y. Takeiri, Y. Takemura, M. Takeuchi9, H. Tamura, N. Tamura, H. Tanaka, T. Tanaka, M. Tingfeng, Y. Todo, M. Tokitani, K. Tokunaga, T. Tokuzawa, H. Tsuchiya, K. Tsumori, Y. Ueda, L. Vyacheslavov, K.Y. Watanabe, T. Watanabe, T.H. Watanabe, B. Wieland, I. Yamada, S. Yamada, S. Yamamoto, N. Yanagi, R. Yasuhara, M. Yokoyama, N. Yoshida, S. Yoshimura, T. Yoshinaga, M. Yoshinuma and A. Komori

    Nuclear Fusion   53 ( 10 )   2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0029-5515/53/10/104015

  • ナノ材料のプラズマプロセシングの研究の現状と将来

    白谷正治, 古閑一憲, 内田儀一郎, Hyunwoong Seo, 板垣奈穂, 岩下伸也

    表面科学   34 ( 10 )   2013.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Characteristics of photocurrent generation in the near-ultraviolet region in Si quantum-dot sensitized solar cells Reviewed International journal

    G. Uchida, M. Sato, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Thin Solid Films   544   2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.04.111

  • Effects of nanoparticle incorporation on properties of microcrystalline films deposited using multi-hollow discharge plasma CVD Reviewed International journal

    Y. Kim, T. Matsunaga, K. Nakahara, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Surf. Coat. Technol.   228 ( 1 )   2013.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2012.04.029

  • Mass density control of carbon films deposited by H-assisted plasma CVD method Reviewed International journal

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Surf. Coat. Technol.   228 ( 1 )   2013.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2012.10.002

  • Effects of DC Substrate Bias Voltage on Dust Flux in the Large Helical Device Reviewed International journal

    K. Koga, K. Nishiyama, Y. Morita, G. Uchida, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Muzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    J. Nucl. Mater.   438   2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jnucmat.2013.01.154

  • Observation of nanoparticle growth process using a high speed camera International journal

    Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    ISPC 21 Proceedings   2013.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Discharge power dependence of carbon dust flux in a divertor simulator Reviewed International journal

    K. Nishiyama, Y. Morita, G. Uchida, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Muzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, and H. Kersten

    J. Nucl. Mater.   438   2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jnucmat.2013.01.169

  • Growth control of ZnO nano-rod with various seeds and photovoltaic application Reviewed International journal

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    J. Phys. : Conference Series (11th APCPST)   441 ( 1 )   2013.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/441/1/012029

  • Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine Reviewed International journal

    K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine and M. Hori

    J. Phys. : Conference Series (11th APCPST)   441 ( 1 )   2013.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1088/1742-6596/441/1/012001

  • Transport control of dust particles via the Electrical Asymmetry Effect: experiment, simulation, and modeling Reviewed International journal

    S. Iwashita, E. Schungel, J. Schulze, P. Hartmann, Z. Donko, G. Uchida, K. Koga, M. Shiratani, U. Czarnetzki

    J. Phys. D: Appl. Phys.   46   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Characterization of the energy flux toward the substrate during magnetron sputter deposition of ZnO thin films Reviewed International journal

    S. Bornholdt, N. Itagaki, K. Kuwahara, H. Wulff, M. Shiratani and H. Kersten

    Plasma Sources Sci. Technol.   22 ( 2 )   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/22/2/025019

  • Analysis on the effect of polysulfide electrolyte composition for higher performance of Si quantum dot-sensitized solar cells Reviewed International journal

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Electrochimica Acta   95 ( 1 )   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.electacta.2013.02.026

  • Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate Reviewed International journal

    N. Itagaki, K. Oshikawa, K. Matsushima, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    Proc. 13th International Conference on Plasma Surface Engineering   2 ( 26 )   2013.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Plasma interactions with aminoacid (L-alanine) as a basis of fundamental processes in plasma medicine Reviewed International journal

    Y. Setsuhara, K. Cho, M. Shiratani, M. Sekine, M. Hori

    Current Applied Physics   13 ( 1 )   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cap.2013.01.030

  • Control of Deposition Profile and Properties of Plasma CVD Carbon Films Reviewed International journal

    K. Koga, T. Urakawa, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani

    Proc. 13th International Conference on Plasma Surface Engineering   2 ( 26 )   2013.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Control of nanoparticle formation in reactive plasmas and its application to fabrication of green energy devices Invited Reviewed International journal

    M. Shiratani, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga

    Proc. 13th International Conference on Plasma Surface Engineering   2 ( 26 )   2013.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • The reduction of charge recombination and performance enhancement by the surface modification of Si quantum dot-sensitized solar cell Reviewed International journal

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Electrochimica Acta   87 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.electacta.2012.09.087

  • H-2/N-2 plasma etching rate of carbon films deposited by H-assisted plasma CVD Reviewed International journal

    T. Urakawa, R. Torigoe, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, K. Takeda, M. Sekine, M. Hori

    Jpn. J. Appl. Phys.   52 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AB01

  • Dust particle formation due to interaction between graphite and helicon deuterium plasmas Reviewed International journal

    S. Iwashita, K. Nishiyama, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Fusion Engineering and Design   88 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.fusengdes.2012.10.002

  • Effects of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization Reviewed International journal

    I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, G. Uchida, K. Kamtaki, K. Koga, M. Shiratani, S. Bornholdt, H. Kersten, Harm Wulff, N. Itagaki

    Jpn. J. Appl. Phys.   52 ( 1S )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AC08

  • High Amount Cluster Incorporation in Initial Si Film Deposition by SiH4 Plasma Chemical Vapor Deposition Reviewed International journal

    Y. Kim, K. Hatozaki, Y. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, H. Seo, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   52 ( 1S )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AD01

  • Improvement of Si Adhesion and Reduction of Electron Recombination for Si Quantum Dot-Sensitized Solar Cells Reviewed International journal

    H. Seo, Y. Wang, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   52 ( 1S )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AD05

  • Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors (Invited) Invited Reviewed International journal

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    Proc. International Symposium on Dry Process   34   2012.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Growth Control of Dry Yeast Using Scalable Atmospheric Pressure Dielectric Barrier Discharge Plasma Irradiation Reviewed International journal

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    Jpn. J. Appl. Phys.   51 ( 11 )   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.11PJ02

  • Investigation of chemical bonding states at interface of Zn/organic materials for analysis of early stage of inorganic/organic hybrid multi-layer formation Reviewed International journal

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   523   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2012.05.061

  • The 24th Symposium on Plasma Science for Materials (SPSM-24) Preface Reviewed International journal

    T. Shirafuji, Y. Setsuhara, M. Shiratani, T. Kaneko, T. Watanabe, N. Ohtake

    Thin Solid Films   523   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2012.10.002

  • The Blocking Effect of Charge Recombination by sputtered and acid-treated ZnO Thin Film in Dye-sensitized Solar Cells Reviewed International journal

    H. Seo, Min-Kyu Son, Songyi Park, Hee-Je Kim, M. Shiratani

    J. Photochem. Photobiol., A : Chemistry   248   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jphotochem.2012.08.016

  • Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage Reviewed International journal

    K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    Thin Solid Films   523   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2012.07.059

  • Effects of crystalline nanoparticle incorporation on growth, structure, and properties of microcrystalline silicon films deposited by plasma CVD Reviewed International journal

    Y. Kim, T. Matsunaga, K. Nakahara ,G. Uchida, K. Kamataki , N. Itagaki, H. Seo, K. Koga, M. Shiratani

    Thin Solid Films   523   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2012.06.023

  • Improvement on the long-term stability of dye-sensitized solar module by structural alternation Reviewed International journal

    H. Seo, M. K. Son, M. Shiratani, H. J. Kim

    Jpn. J. Appl. Phys.   51 ( 10 )   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.10NE21

  • Evaluation of Crystal Orientation for (K, Na)NbO3 Films Using X-ray Diffraction Reciprocal Space Map and Relationship between Crystal Orientation and Piezoelectric Coefficient Reviewed International journal

    K. Shibata, K. Suenaga, K. Watanabe, F. Horikiri, T. Mishima, M. Shiratani

    Jpn. J. Appl. Phys.   51 ( 7 )   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.075502

  • ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio Reviewed International journal

    I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani

    Trans. Mater. Res. Soc. Jpn.   37 ( 2 )   2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.37.165

  • Sheath-to-sheath transport of dust particles in a capacitively coupled discharge Reviewed International journal

    S. Iwashita, G. Uchida, J. Schulze, E. Sch¨ungel, P. Hartmann, M. Shiratani, Zolt´an Donk´o and U. Czarnetzki

    Plasma Sources Sci. Technol.   21 ( 3 )   2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/21/3/032001

  • Subacute pulmonary toxicity of copper indium gallium diselenide following intratracheal instillations into the lungs of rats Reviewed International journal

    A. Tanaka, M. Hirata, M. Shiratani, K. Koga, Y. Kiyohara

    Journal of Occupational Health   54 ( 3 )   2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1539/joh.11-0164-OA

  • High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers Reviewed International journal

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    Thin Solid Films   520 ( 14 )   2012.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.10.136

  • The Optical Analysis and Application of Size-controllable Si Quantum Dots Fabricated by Multi-hollow Discharge Plasma Chemical Vapor Deposition Reviewed International journal

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Mat. Res. Soc. Symp. Proc.   1426   2012.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2012.890

  • Effects of Atmospheric Pressure Dielectric Barrier Discharge Plasma Irradiation on Yeast Growth Reviewed International journal

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    Mat. Res. Soc. Symp. Proc.   1469   2012.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2012.969

  • Influence of Atmospheric Pressure Torch Plasma Irradiation on Plant Growth Reviewed International journal

    Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga and M. Shiratani

    Mat. Res. Soc. Symp. Proc.   1469   2012.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2012.970

  • Rapid Growth of Radish Sprouts Using Low Pressure O2 Radio Frequency Plasma Irradiation Reviewed International journal

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    Mat. Res. Soc. Symp. Proc.   1469   2012.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2012.966

  • Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition Reviewed International journal

    M. Shiratani, K. Hatozaki, Y. Hashimoto, Y. Kim, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga

    Mat. Res. Soc. Symp. Proc.   1426   2012.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2012.1245

  • In situ analysis of size dispersion of nano-particles in reactive plasma using two dimentional laser light scattering method Reviewed International journal

    K. Kamataki, Y. Morita, M. Shiratani, K. Koga, G. Uchida, N. Itagaki

    Journal of Instrumentation   7 ( 4 )   2012.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1748-0221/7/04/C04017

  • In-situ Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances Reviewed International journal

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Mat. Res. Soc. Symp. Proc.   1426   2012.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/opl.2012.839

  • Effect of nitridation of Si nano-particles on the performance of quantum-dot sensitized solar cells Reviewed International journal

    G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AD01

  • Effects of Irradiations with Ions and Photons in UV-VUV Regions on Nano-Surface of Polymers Exposed to Plasma Reviewed International journal

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Jpn. J. Appl. Phys,   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AJ02

  • Electron field emission from SP3-bonded bn microcones as a nonlinear cooperative phenomenon Reviewed International journal

    S. Komatsu, M. Shiratani

    Far East Journal of Dynamical Systems   18 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Growth Enhancement of Radish Sprouts Induced by Low Pressure O2 Radio Frequency Discharge Plasma Irradiation Reviewed International journal

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    Jpn. J. Appl. Phys.   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AE01

  • Combinatorial Deposition of Microcrystalline Silicon Films Using Multihollow Discharge Plasma Chemical Vapor Deposition Reviewed International journal

    K. Koga, T. Matsunaga, Y. Kim, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, and M. Shiratani

    Jpn. J. Appl. Phys.   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AD02

  • Deposition of cluster-free B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD Reviewed International journal

    K. Koga, K. Nakahara, Y. Kim, T. Matsunaga, D.Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani

    Jpn. J. Appl. Phys.   51 ( 1 )   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AD03

  • Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers Reviewed International journal

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition of FeSi2 nano-particle film International journal

    M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, K. Koga and M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of substrate bias voltage on plasma anisotropic CVD of carbon using H-assisted plasma CVD reactor International journal

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Growth promotion characteristics of bread yeast by atmospheric pressure dielectric barrier discharge plasma irradiation International journal

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Production Process of Carbon Nanotube Coagulates International journal

    T. Mieno, K. Koga, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Transport of dust particles in multi-frequency capacitively coupled radio frequency discharges International journal

    G. Uchida, S. Iwashita, J. Schungel, M. Shiratani, U. Czarnetzki

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Influence of active oxygen species produced by atmospheric torch plasma on plant growth Reviewed International journal

    N. Hayashi, Y. Akiyoshi, S. Kitazaki, K. Koga, M. Shiratani

    Proc. Intern. Symp. on Dry Process   33   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Investigation of plasma interactions with organic semiconductors for fabrication of flexible electronics devices Reviewed International journal

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   33   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Optical emission spectroscopy of Ar+H2+ C7H8 discharges for anisotropic plasma CVD of carbon Reviewed International journal

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   33   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Quantum dot-sensitized solar cells using nitridated si nanoparticles produced by double multi-hollow discharges Reviewed International journal

    M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, K. Koga, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Stable schottky solar cells using cluster-free a-si:h prepared by multi-hollow discharge plasma CVD Reviewed International journal

    K. Hatozaki, K. Nakahara, G. Uchida, K. Koga, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • The improvement on the long-term stability of dye-sensitized solar module by structural alternation Reviewed International journal

    H. Seo, Min-Kyu Son, M. Shiratani, Hee-Je Kim

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • フレキシブルデバイス創製に向けたプラズマ—ソフトマテリアル相互作用の解析 Reviewed

    趙研, 節原裕一, 竹中弘祐, 白谷正治, 関根誠, 堀勝

    高温学会誌   37 ( 6 )   2011.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Investigations on Plasma Interactions with Soft Materials for Fabrication of Flexible Devices

    DOI: 10.7791/jhts.37.289

  • Collection of carbon dust particles formed due to plasma-wall interactions between high density H2 plasma and carbon wall onto substrates by applying local DC bias voltage International journal

    K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Muzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition of cluster-free a-Si:H films using cluster eliminating filter International journal

    K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, M. Sato, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition of highly stable cluster-free a-Si:H films using fast gas flow multi-hollow discharge plasma CVD method International journal

    K. Hatozaki, K. Nakahara, T. Matsunaga, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of electrolyte on performance of quantum dot-sensitized solar cells using Si nanoparticles synthesized by multi-hollow discharge plasma CVD International journal

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of N2 gas addition to sputtering plasma on properties of epitaxial ZnO films International journal

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, Seo H., G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of nanoparticle incorporation on Si thin films deposited by plasma CVD International journal

    M. Shiratani, Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Influence of nano-particles on multi-hollow discharge plasma for microcrystalline silicon thin films deposition International journal

    T. Matsunaga, Y. Kim, K. Koga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Low resistive ZnO:Al films with ZnO buffer layers fabricated by Ar/N2 magnetron sputtering International journal

    N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, H. Seo, G. Uchida, K. Koga, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Performance enhancement of Si quantum dot-sensitized solar cells by surface modification using ZnO barrier layer International journal

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. Intern. Symp. on Dry Process   33   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Radical Flux Evaluation to Microcrystalline Silicon Films Deposited by Multi-Hollow Discharge Plasma CVD International journal

    Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Self-organized carbon Mk formation on the top surface of fine trenches using a low temperature plasma anisotropic CVD for depositing fine organic structure International journal

    K. Koga, T. Urakawa, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD International journal

    K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani

    Proc. Plasma Conf. 2011   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Combinatorial study on effects of substatrate temperature of silicon film deposition using multi-hollow discharge plasma cvd Reviewed International journal

    Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD Reviewed International journal

    K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films Reviewed International journal

    T. Matsunaga, Y. Kim, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Properties and performance of si quantum dot-sensitized solar cells with low temperature titania paste Reviewed International journal

    Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, H. Seo, G. Uchida, K. Koga, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization Reviewed International journal

    N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    Proc. PVSEC-21   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Surface nitridation of silicon nano-particles using double multi-hollow discharge plasma CVD Reviewed International journal

    G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Kondo, M. Shiratani

    Physica Status Solidi (c)   8 ( 10 )   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssc.201001230

  • Deposition of cluster-free P-doped a-Si:H films using SiH4+PH3 multi-hollow discharge plasma CVD method Reviewed International journal

    K. Koga, K. Nakahara, Y. Kim, Y. Kawashima, T. Matsunaga, M. Sato, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani

    Physica Status Solidi (c)   8 ( 10 )   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssc.201100229

  • Hybrid sensitized solar cells using Si nanoparticles and ruthenium dye Reviewed International journal

    G. Uchida, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Kondo, M. Shiratani

    Physica Status Solidi (c)   8 ( 10 )   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssc.201100166

  • Impacts of Amplitude Modulation of RF Discharge Voltage on the Growth of Nanoparticles in Reactive Plasma Reviewed International journal

    K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, M. Shiratani

    Appl. Phys. Express   4 ( 10 )   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.105001

  • Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasma International journal

    K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    Proc. of International Conference on Phenomena in Ionized Gases(ICPIG) 2011 Conference   2011.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Comparison between silicon thin films with and without incorporating crystalline silicon nanoparticles into the film Reviewed International journal

    K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    Thin Solid Films   519 ( 20 )   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.01.408

  • Effects of photoirradiation in UV and VUV regions during plasma exposure to polymers Reviewed International journal

    K. Cho, Y. Setsuhara, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   519 ( 20 )   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.04.060

  • Plasma processing of soft materials for development of flexible devices Reviewed International journal

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   519 ( 20 )   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.04.091

  • Redox Characteristics of Thiol Compounds Using Radicals Produced by Water Vapor Radio Frequency Discharge Reviewed International journal

    N. Hayashi, A. Nakahigashi, M. Goto, S. Kitazaki, K. Koga, M. Shiratani

    Jpn. J. Appl. Phys.   50 ( 8 )   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JF04

  • Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD International journal

    Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    Proc. of The 20th International Symposium on Plasma Chemistry (ISPC20)   2011.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition profile control of carbon films on submicron wide trench substrate using H-assisted plasma CVD International journal

    T. Urakawa, T. Nomura, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. of The 20th International Symposium on Plasma Chemistry (ISPC20)   2011.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Combinatorial analyses of plasma-polymer interactions Reviewed International journal

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    Surf. Coat. Technol.   205 ( 2 )   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2011.04.083

  • Nano-factories in plasma: present status and outlook Reviewed International journal

    M. Shiratani, K. Koga, S. Iwashita, G. Uchida, N. Itagaki, K. Kamataki

    J. Phys. D: Appl. Phys.   44 ( 17 )   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/44/17/174038

  • Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics Invited Reviewed International journal

    K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani

    International Conference on Advances in Condensed and Nano Materials (ICACNM)   1393   2011.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1063/1.3653600

  • Highly Conducting and Very Thin ZnO:Al Films with ZnO Buffer Layer Fabricated by Solid Phase Crystallization from Amorphous Phase Reviewed International journal

    N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Koga, M. Shiratani

    Appl. Phys. Express   4 ( 1 )   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.011101

  • Low-damage plasma processing of polymers for development of organic-inorganic flexible devices Reviewed International journal

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    Surf. Coat. Technol.   205 ( 1 )   2010.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2010.08.031

  • マルチホロー放電プラズマCVDによる量子ドット増感太陽電池用シリコンナノ結晶粒子の作製

    内田儀一郎, 古閑一憲, 白谷正治

    ケミカルエンジニヤリング   55 ( 12 )   2010.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • High rate deposition of highly stable a-Si:H films using multi-hollow discharges for thin films solar cells Reviewed International journal

    W. M. Nakamura, H. Matsuzaki, H. Sato, Y. Kawashima, K. Koga, M. Shiratani

    Surf. Coat. Technol.   205 ( 1 )   2010.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2010.07.081

  • Fluctuation Control for Plasma Nanotechnologies Reviewed International journal

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    プラズマナノテクノロジーで最重要課題となっている揺らぎの制御に関する現状と将来を展望した論文。

    DOI: 10.1109/TENCON.2010.5685920

  • Combinatorial Analysis of Plasma-Polymer Interactions for Soft Material Processing International journal

    Y. Setsuhara, K. Takenaka, K. Cho, M. Shiratani, M. Sekine, M. Hori

    Proc. of International Symposium on Dry Process   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of Photo-Irradiations in VUV and UV Regions on Chemical Bonding States of Polymers during Plasma Exposure International journal

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. of International Symposium on Dry Process   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Growth Stimulation of Radish Sprouts Using Discharge Plasma Reviewed International journal

    S. Kitazaki, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686474

  • Photoluminescence of Si nanoparticles synthesized using multi-hollow discharge plasma CVD Reviewed International journal

    Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686677

  • Redox Characteristics of Amino Acids Using Low Pressure Water Vapor RF Plasma Reviewed International journal

    Y. Akiyoshi, A. Nakahigashi, N. Hayashi, S. Kitazaki, Takuro Iwao, K. Koga, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686467

  • Substrate temperature dependence of feature profile of carbon films on substrate with submicron trenches Reviewed International journal

    T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686688

  • Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges International journal

    G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    Proc. of MNC2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles International journal

    H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. of International Symposium on Dry Process   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Cluster-Free B-Doped a-Si:H Films Deposited Using SiH4 + B10H14 Multi-Hollow Discharges Reviewed International journal

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686686

  • Deposition profiles of microcrystalline silicon films using multi-hollow discharge plasma CVD Reviewed International journal

    T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, W. M. Nakamura, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686679

  • Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasma Reviewed International journal

    K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686456

  • Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge Reviewed International journal

    G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. IEEE TENCON 2010   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TENCON.2010.5686704

  • Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges International journal

    G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Academic Roadmap of Plasma Process Technologies International journal

    M. Shiratani

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of Photoemissions in UV and VUV Regions on Nano-Surface Strucures of Soft Materials during Plasma Processes International journal

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Investigation of Plasma Interactions with Soft Materials via Combinatorial Plasma-Process Analyzer for Plasma Nano Processes International journal

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Plasma parameter measurements of Ar+H2+C7H8 plasma in H-assisted plasma CVD reactor International journal

    T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Redox Characteristics of Thiol of Plants Using Radicals Produced by RF Discharge International journal

    A. Nakahigashi, Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Carbon dust particles generated due to H2 plasma-carbon wall interaction International journal

    H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Muzaki, K. Nishimura, A. Sagara, LHD experimental group

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD International journal

    T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method International journal

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. of 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasma   55 ( 7 )   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • X-Ray photoelectron spectroscopy analysis of plasma-polymer interactions for development of low-damage plasma processing of soft materials Reviewed International journal

    Y. Setsuhara, K. Cho, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   518 ( 22 )   2010.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2010.01.057

  • Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer Reviewed International journal

    Y. Setsuhara, C. Ken, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   518 ( 22 )   2010.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2010.03.055

  • Development of a Combinatorial Plasma Process Analyzer for Advanced R&D of Next Generation Nanodevice Fabrications Reviewed International journal

    K. Takenaka, C. Ken, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. Characterization and Control of Interfaces for high quality advanced materials III   219   2010.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1002/9780470917145.ch40

  • Hard X-ray photoelectron spectroscopy analysis for organic-inorganic hybrid materials formation Reviewed International journal

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori, E. Ikenaga, H. Kondo, O. Nakatsukado, S. Zaima

    Proc. Characterization and Control of Interfaces for high quality advanced materials III   219   2010.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1002/9780470917145.ch27

  • Quantum dot-sensitized solar cells using Si nanoparticles Reviewed International journal

    Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo

    Trans. Mater. Res. Soc. Jpn.   35 ( 3 )   2010.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • プラズマ・プロセス技術 Invited Reviewed

    白谷正治

    応用物理   79 ( 8 )   2010.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Plasma and process technologies

  • Combinatorial Analysis of Plasma-Surface Interactions of Poly(ethylene terephthalate) with X-ray Photoelectron Spectroscopy Reviewed International journal

    K. Takenaka, C. Ken, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Japanese Journal of Applied Physics   49 ( 8 )   2010.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.49.08JA02

  • Si quantum dot-sensitized solar cells using Si nanoparticles produced by plasma CVD Reviewed International journal

    Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    Proc. 35th IEEE Photovoltaic Specialists Conf.   2010.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/PVSC.2010.5617205

  • Effects of hydrogen dilution on electron density in multi-hollow discharges with magnetic field for A-Si:H film deposition Reviewed International journal

    K. Koga, Y. Kawashima, K. Nakahara, T. Matsunaga, W. M. Nakamura, M. Shiratani

    Proc. 35th IEEE Photovoltaic Specialists Conf.   2010.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/PVSC.2010.5616502

  • Deposition of cluster-free P-doped a-Si:H films using a multi-hollow discharge plasma CVD method Reviewed International journal

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Proc. 35th IEEE Photovoltaic Specialists Conf.   2010.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/PVSC.2010.5616514

  • Etching characteristics of organic low-k films interpreted by internal parameters employing a combinatorial plasma process in an inductively coupled H2/N2 plasma Reviewed International journal

    M. C. Sung, Keiko Takeda, M. Sekine, Y. Setsuhara, M. Shiratani, M. Hori

    J. Appl. Phys.   107 ( 11 )   2010.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3415535

  • Surface loss probabilities of H and N radicals on different materials in afterglow plasma employing H2 and N2 mixture gases Reviewed International journal

    C. S. Moon, K. Takeda, S. Takashima, M. Sekine, Y. Setsuhara, M. Shiratani, M. Hori

    J. Appl. Phys.   107 ( 10 )   2010.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3372750

  • X-ray photoelectron spectroscopy for analysis of plasma-polymer interactions in Ar plasma sustained via RF inductive coupling with low-inductance antenna units Reviewed International journal

    Y. Setsuhara, C. Ken, M. Shiratani, M. Sekine, M. Hori, E. Ikenaga, S. Zaima

    Thin Solid Films   518 ( 13 )   2010.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.11.038

  • Low-damage surface modification of polymethylmethacrylate with argon-oxygen mixture plasma driven by multiple low-inductance antenna units Reviewed International journal

    Y. Setsuhara, C. Ken, K. Takenaka, M. Shiratani, M. Sekine, M. Hori, E. Ikenaga, S. Zaima

    Thin Solid Films   518 ( 13 )   2010.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.11.045

  • Review of pulmonary toxicity of indium compounds to animals and humans Reviewed International journal

    A. Tanaka, M. Hirata, Y. Kiyohara, M. Nakano, K. Omae, M. Shiratani, K. Koga

    Thin Solid Films   518 ( 11 )   2010.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.10.123

  • High performance of compact radical monitoring probe in H2/N2 mixture plasma Reviewed International journal

    C. S. Moon, K. Takeda, S. Takashima, M. Sekine, Y. Setsuhara, M. Shiratani, M. Hori

    J. Vac. Sci. Technol., B   28 ( 2 )   2010.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.3327926

  • X-Ray Photoelectron Spectroscopy Analysis of Plasma Interactions with Polymers for Development of Low-Damage and Low-Temperature Plasma Processes International journal

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. of the 27th symposium on plasma processing   ( P2-33 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • A Low Pressure Combinatorial Plasma Process Employing an Integrated Monitoring International journal

    M. Hori, C. S. Moon, M. Sekine, K. Takeda, Y. Setushara, M. Shiratani

    Proc. of the 27th symposium on plasma processing   ( T-02 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Combinatorial Analysis of Plasma-Polymer Interactions for Advanced Polymer Nano-Processing with Density-Inclination Plasma International journal

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    Proc. of the 27th symposium on plasma processing   ( B5-01 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Control of deposition profile of hard carbon films on trenched substrates using H-assisted plasma CVD reactor International journal

    T. Nomura, Y. Korenaga, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. of the 27th symposium on plasma processing   ( P1-39 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of gas residence time and H2 dilution on electron density in multi-hollow discharges of SiH4+ H2 International journal

    K. Koga, H. Sato, Y. Kawashima, W. M. Nakamura, M. Shiratani

    Proc. of the 27th symposium on plasma processing   ( A5-06 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • In-situ Measurement of Production Process of Nanotube-Aggregates by the Laser-Mie Scattering (Dependence of Arc Condition and Gravity) International journal

    T. Mieno, GuoDong Tan, S. Usuba, K. Koga, M. Shiratani

    Proc. of the 27th symposium on plasma processing   ( P2-17 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • In-Situ Sampling of Dust Particles Produced Due to Interaction between Main Discharge Plasma and Inner Wall in LHD International journal

    H. Miyata, S. Iwashita, YasuY. Yamada, K. Koga, M. Shiratani, N. Ashikawa, S. Muzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    Proc. of the 27th symposium on plasma processing   ( P1-14 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Measurement of electron density in multi-hollow discharges with magnetic field International journal

    H. Sato, Y. Kawashima, K. Nakahara, K. Koga, M. Shiratani

    Proc. of the 27th symposium on plasma processing   ( A6-01 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Observation of nano-particle transport in capacitively coupled radio frequency discharge plasma International journal

    S. Iwashita, H. Miyata, YasuY. Yamada, H. Matsuzaki, K. Koga, M. Shiratani

    Proc. of the 27th symposium on plasma processing   ( P1-13 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Synthesis of crystalline Si nanoparticles for Quantum dots-sensitized solar cells using multi-hollow discharge plasma CVD International journal

    Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo

    Proc. of the 27th symposium on plasma processing   ( B5-05 )   2010.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • High rate deposition of cluster-suppressed amorphous silicon films deposited using a multi-hollow discharge plasma CVD Reviewed International journal

    K. Koga, H. Sato, Y. Kawashima, W. M. Nakamura, M. Shiratani

    Mat. Res. Soc. Symp. Proc.   1210 ( Q07-10 )   2010.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/PROC-1210-Q07-10

  • Deposition Profile Control of Carbon Films on Patterned Substrates using a Hydrogen-assited Plasma CVD Method Reviewed International journal

    T. Nomura, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Mat. Res. Soc. Symp. Proc.   1222 ( DD05-16 )   2010.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/PROC-1222-DD05-16

  • Plasma surface treatment of polymers with inductivity-coupled RF plasma driven by low-inductance antenna units Reviewed International journal

    Y. Setsuhara, K. Cho, K. Takenaka, A. Ebe, M. Shiratani, M. Sekine, M. Hori, E. Ikenaga, H. Kondo, O. Nakatsukado, S. Zaima

    Thin Solid Films   518 ( 3 )   2009.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.07.161

  • Development of density-inclination plasma for analysis of plasma nano-processes via combinatorial method Reviewed International journal

    Y. Setsuhara, K. Nagao, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   518 ( 3 )   2009.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.07.162

  • Development of net-current free heliotron plasma in the Large Helical Device Reviewed International journal

    A. Komori, H. Yamada, S. Sakakibara, O. Kaneko, K. Kawahata, T. Mutoh, N. Ohyabu, S. Imagawa, K. Ida, Y. Nagayama, T. Shimozuma, K.Y. Watanabe, T. Mito, M. Kobayashi, K. Nagaoka, R. Sakamoto, N. Yoshida, S. Ohdachi, N. Ashikawa, Y. Feng, T. Fukuda, H. Igami, S. Inagaki, H. Kasahara, S. Kubo, R. Kumazawa, O. Mitarai, S. Murakami, Y. Nakamura, M. Nishiura, T. Hino, S. Muzaki, K. Tanaka, K. Toi, A. Weller, M. Yoshinuma, Y. Narushima, N. Ohno, T. Okamura, N. Tamura, K. Saito, T. Seki, S. Sudo, H. Tanaka, T. Tokuzawa, N. Yanagi, M. Yokoyama, Y. Yoshimura, T. Akiyama, H. Chikaraishi, M. Chowdhuri, M. Emoto, N. Ezumi, H. Funaba, L. Garcia, P. Goncharov, M. Goto, K. Ichiguchi, M. Ichimura, H. Idei, T. Ido, S. Iio, K. Ikeda, M. Irie, A. Isayama, T. Ishigooka, M. Isobe, T. Ito, K. Itoh, A. Iwamae, S. Hamaguchi, T. Hamajima, S. Kitajima, S. Kado, D. Kato, T. Kato, S. Kobayashi, K. Kondo, S. Mamune, Y. Matsumoto, N. Matsunami, T. Minami, C. Michael, H. Miura, J. Miyazawa, N. Mizuguchi, T. Morisaki, S. Morita, G. Motojima, I. Murakami, S. Muto, K. Nagasaki, N. Nakajima, Y. Nakamura, H. Nakanishi, H. Nakano, K. Narihara, A. Nishimura, H. Nishimura, K. Nishimura, S. Nishimura, N. Nishino, T. Notake1, T. Obana, K. Ogawa, Y. Oka, T. Ohishi, H. Okada, K. Okuno, K. Ono, M. Osakabe, T. Osako, T. Ozaki, B.J. P.son, H. Sakaue, M. Sasao, S. Satake, K. Sato, M. Sato, A. Shimizu, M. Shiratani, M. Shoji, H. Sugama, C. Suzuki, Y. Suzuki, K. Takahata, H. Takahashi, Y. Takase, Y. Takeiri, H. Takenaga, S. Toda, Y. Todo, M. Tokitani, H. Tsuchiya, K. Tsumori, H. Urano, E. Veshchev, F. Watanabe, T. Watanabe, T.H. Watanabe, I. Yamada, S. Yamada, O. Yamagishi, S. Yamaguchi, S. Yoshimura, T. Yoshinaga and O. Motojima

    Nuclear Fusion   49 ( 10 )   2009.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0029-5515/49/10/104015

  • Substrate temperature dependence of deposition profile of plasma CVD carbon films in trenches Reviewed International journal

    J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    J. Plasma Fusion Res.   8   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Dust Particles formed owing to interactions between H2 or D2 helicon plasma, graphite International journal

    H. Miyata, S. Iwashita, YasuY. Yamada, K. Koga, M. Shiratani

    Proc. of 2009 International Symposium on Dry Process   2009.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Measurements of electron density in SiH4+H2 multi-hollow discharges using a frequency shift probe International journal

    K. Nakahara, Y. Kawashima, H. Sato, K. Koga, M. Shiratani

    Proc. of 2009 International Symposium on Dry Process   2009.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Measurements of Surface Temperature of a-Si:H Films in Silane Multi-Hollow Discharge with IR Thermometer International journal

    H. Sato, Y. Kawashima, K. Koga, M. Shiratani

    Proc. of 2009 International Symposium on Dry Process   2009.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Porosity Control of Nano-Particle Composite Porous Low Dielectric Films using Pulse RF Discharges with Amplitude Modulation International journal

    S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama

    Proc. of 2009 International Symposium on Dry Process   2009.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Pressure, aspect ratio dependence of deposition profile of carbon films on trench substrates deposited by plasma CVD International journal

    T. Nomura, Y. Korenaga, J. Umetsu, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. of 2009 International Symposium on Dry Process   2009.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Synthesis of Si nanoparticles for multiple exciton generation solar cells using multi-hollow discharge plasma CVD International journal

    Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo

    Proc. of 2009 International Symposium on Dry Process   2009.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Toward plasma nano-factories International journal

    M. Shiratani, K. Koga

    Proc. of 2nd International Conference on Advanced Plasma Technologies (iCAPT-II) with 1st International Plasma Nanoscience Symposium (iPlasmaNano-I)   2009.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • A comparison of dust particles produced due to interaction between graphite and plasma: LHD vs helicon discharges Reviewed International journal

    S. Iwashita, H. Miyata, K. Koga, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD experimental group

    J. Plasma Fusion Res.   8   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Combinatorial Plasma Etching Process Reviewed International journal

    C. S. Moon, K. Takeda, M. Sekine, Y. Setsuhara, M. Shiratani, M. Hori

    Applied Physics Express   2 ( 9 )   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.2.096001

  • Control of Three Dimensional Transport of Nano-blocks by Amplitude Modulated Pulse RF Discharges using an Electrode with Needles Reviewed International journal

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    J. Plasma Fusion Res.   8   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Dependence of volume fraction of clusters on deposition rate of a-Si:H films deposited using a multi-hollow discharge plasma CVD method Reviewed International journal

    H. Sato, Y. Kawashima, M. Tanaka, K. Koga, W. M. Nakamura, M. Shiratani

    J. Plasma Fusion Res.   8   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Detection of nano-particles formed in cvd plasma using a two-dimensional photon-counting laser-light-scattering method Reviewed International journal

    H. Miyahara, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    J. Plasma Fusion Res.   8   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Optical Emission Spectroscopy of a Magnetically Enhanced Multi-hollow Discharge Plasma for a-Si:H Deposition Reviewed International journal

    W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, J. Umetsu, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    J. Plasma Fusion Res.   8   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Plasma CVD of Nano-particle Composite Porous SiOCH Films International journal

    M. Shiratani, S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Akiyama

    Proc. of 19th International Symposium on Plasma Chemistry   2009.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Characterization of Dust Particles Ranging in Size from 1 nm to 10 m Collected in LHD Reviewed International journal

    K. Koga, S. Iwashita, S. Kiridoshi, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group

    Plasma and Fusion Research   4   2009.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.4.034

  • Nano-block manipulation using pulse RF discharges with amplitude modulation combined with a needle electrode

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    Proc. of PSS2009/SPP26   2009.2

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Characteristics of dust particles produced due to interaction between hydrogen plasma, graphite

    S. Iwashita, H. Miyata, K. Koga, M. Shiratani, N. Ashikawa, A. Sagara, K. Nisimura

    Proc. of PSS2009/SPP26   2009.2

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Conductivity of nc-Si films depsited using multi-hollow discharge plasma CVD method

    K. Koga, Y. Kawashima, W. M. Nakamura, H. Sato, M. Tanaka, M. Shiratani, M. Kondo

    Proc. of PSS2009/SPP26   2009.2

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Deposition profile of toluene plasma CVD carbon films in trenches

    J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. of PSS2009/SPP26   2009.2

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Effects of magnetic fields on multi-hollow discharges for thin film silicon solar cells

    Nakamura W. M., Sato H., Koga K., Shiratani M.

    Proc. of PSS2009/SPP26   2009.2

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • High Rate Deposition of a-Si:H Depositied using a Low Gas Pressure Multi-hollow Discharge Plasma CVD Method

    K. Koga, W. M. Nakamura, H. Sato, M. Tanaka, H. Miyahara, M. Shiratani

    Proc. of PSS2009/SPP26   2009.2

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Recent progress in understanding the behavior of dust in fusion devices Reviewed International journal

    S. I. Krasheninnikov, R. D. Smirnov,Y. Tanaka,T. K. Soboleva, D. A. Mendis, D. L. Rudakov, W. P. West, C. H. Skinner, B. Lipschultz, R. S. Granetz, N. Ohno, S. Muzaki, M. Shiratani, R. Kumazawa, T. Nakano, R. Maqueda, A. Y. Pigarov, M. Rosenberg, D. J. Benson, T. D. Rognlien, B. D. Bray, J. H. Yu, A. L. Roquemore, J. L. Terry, A. Bader, C. S. Pitcher, S. Takamura, N. Ashikawa, M. Tokitani, N. Asakura, A. M. Litnovsky

    Plasma Physics and Controlled Fusion   50 ( 12 )   2008.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0741-3335/50/12/124054

  • Deposition profile of plasma CVD carbon films in trenches Reviewed International journal

    J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. of 30th International Symposium on Dry Process   2008.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Plasma CVD of Nano-particle Composite Porous Films of k=1.4-2.9, Young’s Modulus above 10 GPa Reviewed International journal

    S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama

    Proc. of 30th International Symposium on Dry Process   2008.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Two dimensional spatial profile of volume fraction of nanoparticles incorporated into a-Si:H films deposited by plasma CVD Reviewed International journal

    W. M. Nakamura, H. Miyahara, K. Koga, M. Shiratani

    IEEE Trans. Plasma Science   36 ( 4 )   2008.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TPS.2008.923830

  • Cluster incorporation control for a-Si:H film deposition Reviewed International journal

    W. M. Nakamura, K. Koga, H. Miyahara, M. Shiratani

    J. Phys. : Conference Series   100 ( 8 )   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/100/8/082018

  • Discharge power dependence of Ha intensity asn electron density of Ar+H2 discharges in H-assisted plasma CVD reactor Reviewed International journal

    J. Umetsu, K. Koga, K. Inoue, H. Matzuzaki, K. Takenaka, M. Shiratani

    Surf. Coat. Technol.   202 ( 22-23 )   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2008.06.108

  • Nanoparticle coagulation in fractionally charged and charge fluctuating dusty plasma Reviewed International journal

    S. Nunomura, K. Koga, Y. Watanabe, M. Shiratani, M. Kondo

    Phys. Plasma   15 ( 8 )   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.2972162

  • Optical emission spectroscopic study on H-assisted plasma for anisotropic deposition of Cu films Reviewed International journal

    J. Umetsu, K. Koga, K. Inoue, M. Shiratani

    J. Phys. : Conference Series   100 ( 6 )   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/100/6/062007

  • Rapid transport of nano-particles in amplitude modulated rf discharges for depositing porous ultra-low-k films Reviewed International journal

    S. Iwashita, K. Koga, M. Morita, M. Shiratani

    J. Phys. : Conference Series   100 ( 6 )   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/100/6/062006

  • Temperature dependence of dielectric constant of nano-particle composite porous low-k films fabricated by pulse rf discharges with amplitude modulation Reviewed International journal

    S. Iwashita, Michihito Morita, H. Matsuzaki, K. Koga, and M. Shiratani

    Jpn. J. Appl. Phys.   47 ( 8 )   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.47.6875

  • Nanoparticle-Suppressed Plasma CVD for Depositing Stable a-Si:H Films Reviewed International journal

    M. Shiratani, W. M. Nakamura, H. Miyahara, K. Koga

    Digest of Technical Papers of the Fifteenth International Workshop on Active-Matrix Flatpanel Displays, Devices (AM-FPD 08)   2008.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Rapid transport of nano-particles having a fractional elemental charge on average in capacitively coupled rf discharges by amplitude modulating discharge voltage Invited Reviewed International journal

    M. Shiratani, S. Iwashita, K. Koga, S. Nunomura

    Faraday Discussions   137   2008.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/B704910B

  • VHF discharge sustained in a small hole Reviewed International journal

    K. Koga, W. M. Nakamura, and M. Shiratani

    Proc. 28th Intern. Conf. on Phenomena in Ionized Gases   2007.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Transport of nano-particles in capacitively coupled rf discharges without and with amplitude modulation of discharge voltage Invited Reviewed International journal

    K. Koga, S. Iwashita, M. Shiratani

    J. Phys. D: Appl. Phys.   40 ( 8 )   2007.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/40/8/S05

  • Single step process to deposit Si quantum dot films using H2+SiH4 VHF discharges and electron mobility in a Si quantum dot solar cell Reviewed International journal

    M. Shiratani, K. Koga, S. Ando, T. Inoue, Y. Watanabe, S. Nunomura, M. Kondo

    Surf. Coat. Technol.   201 ( 9-11 )   2007.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2006.07.012

  • A device for trapping nano-particles formed in processing plasma for reduction of nano-waste Reviewed International journal

    S. Iwashita, K. Koga, M. Shiratani

    Surf. Coat. Technol.   201 ( 9-11 )   2007.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2006.07.060

  • Transport of nano-particles in pulsed AM RF discharges

    S. Iwashita, K. Koga, M. Shiratani

    Proc. the 24th Symp. on Plasma Processing   2007.1

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • In-situ sampling of dust generated in LHD and its analysis

    M. Shiratani, S. Kiridoshi, K. Koga, S. Iwashita, N. Ashikawa, K. NIshimura, A. Sagara, A. Komori, LHD Experimental Group

    Proc. the 24th Symp. on Plasma Processing   2007.1

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Stability of a-Si:H deposited using multi-hollow plasma CVD

    K. Koga, W. M. Nakamura, D. Shimokawa, M. Shiratani

    Proc. the 24th Symp. on Plasma Processing   2007.1

     More details

    Language:English   Publishing type:Research paper (other academic)  

  • Species responsible for Si-H2 bond formation in a-Si:H films deposited using silane high frequency discharges Invited Reviewed International journal

    M. Shiratani, K. Koga, N. Kaguchi, K. Bando,and Y. Watanabe

    Thin Solid Films   506-507   2006.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2005.08.015

  • Mechanism of Cu deposition from Cu(EDMDD)2 using H-assisted plasma CVD Reviewed International journal

    K. Takenaka, K. Koga, M. Shiratani, Y. Watanabe, and T. Shingen

    Thin Solid Films   506-507   2006.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2005.08.028

  • Nano-particle formation due to interaction between H2 plasma and carbon wall Reviewed International journal

    K. Koga, Y. Kitaura, M. Shiratani, Y. Watanabe, and A. Komori

    Thin Solid Films   506-507   2006.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2005.08.062

  • Production of crystalline Si nano-clusters using pulsed H2+SiH4 VHF discharges Reviewed International journal

    T. Kakeya, K. Koga, M. Shiratani, Y. Watanabe, and M. Kondo

    Thin Solid Films   506-507   2006.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2005.08.090

  • In situ simple method for measuring size and density of nanoparticles in reactive plasma Reviewed International journal

    S. Nunomura, M. Kita,, K. Koga, M. Shiratani, and Y. Watanabe

    J. Appl. Phys.   99 ( 8 )   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.2189951

  • BN micro-fibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst Reviewed International journal

    S. Komatsu, D. Kazami, Norihoro Tanaka, Y. Moriyoshi, M. Shiratani, KatsuY. Okada

    Appl. Phys. Express   88   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.2188381

  • Fabrication of nanoparticle composite porous films having ultra-low dielectric constant Reviewed International journal

    S. Nunomura, K. Koga, M. Shiratani, Y. Watanabe, Y. Morisada, N. Matsuki, and S. Ikeda

    Jpn. J. Appl. Phys.   44 ( 50 )   2005.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.44.L1509

  • Highly stable a-Si:H films deposited by using multi-hollow plasma chemical vapor deposition Reviewed International journal

    K. Koga, T. Inoue, K. Bando, S. Iwashita, M. Shiratani, and Y. Watanabe

    Jpn. J. Appl. Phys.   44 ( 48 )   2005.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.44.L1430

  • Cluster-eliminating filter for depositing cluster-free a-Si:H films by plasma CVD Reviewed International journal

    K. Koga, N. Kaguchi, K. Bando, and M. Shiratani

    Rev. Sci. Instrum.   76 ( 11 )   2005.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.2126572

  • Production of crystalline Si nano-particles using VHF discharges and their properties Reviewed International journal

    M. Shiratani, T. Kakeya, K. Koga, Y. Watanabe, and M. Kondo

    Trans. Mater. Res. Soc. Jpn.   30 ( 1 )   2005.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Preparation of high-quality a-Si:H using cluster-suppressed plasma CVD method and its prospects Invited Reviewed International journal

    Y. Watanabe, M. Shiratani, and K. Koga

    Trans. Mater. Res. Soc. Jpn.   30 ( 1 )   2005.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Control of deposition profile of Cu for LSI interconnects by plasma chemical vapor deposition Reviewed International journal

    K. Takenaka, M. Shiratani, M. Takeshita, M. Kita, K. Koga, and Y. Watanabe

    Pure Appl. Chem.   77 ( 2 )   2005.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1351/pac200577020391

  • Electron field emission from self-organized microemitters of sp3-bonded 5H boron nitride with very high current density at low electric field Reviewed International journal

    S. Komatsu, A. Okudo, D. Kazami, D. Golberg, Y. Li, Y. Moriyoshi, M. Shiratani, K. Okada

    J. Phys. Chem. B   108 ( 17 )   2004.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jp0493475

  • Correlation between volume fraction of clusters incorporated into a-Si:H films and hydrogen content associated with Si-H2 bonds in the films Reviewed International journal

    K. Koga, N. Kaguchi, M. Shiratani, and Y. Watanabe

    J. Vac. Sci. Technol., A   22 ( 4 )   2004.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.1763905

  • Anisotropic Deposition of Cu in trenches by H-assisted Plasma Chemical Vapor Deposition Reviewed International journal

    K. Takenaka, M. Kita,, T. Kinoshita, K. Koga, M. Shiratani, and Y. Watanabe

    J. Vac. Sci. Technol., A   22 ( 4 )   2004.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.1738663

  • Carbon particle formation due to interaction between H2 plasma and carbon fiber composite wall Reviewed International journal

    K. Koga, R. Uehara, Y. Kitaura, M. Shiratani, Y. Watanabe, A. Komori

    IEEE Trans. Plasma Science   32 ( 2 )   2004.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/TPS.2004.828129

  • Condensation of sp 3-Bonded Boron Nitride through a Highly Nonequilibrium Fluid State Reviewed International journal

    S, Komatsu, K. Kurashima, Y.shimizu, Y. Moriyoshi, M. Shiratani, K. Okada

    J. Phys. Chem. B   108 ( 1 )   2004.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jp0364452

  • Effects of Excitation Frequency and H2 Dilution on Cluster Generation in Silane High-Frequency Discharges Reviewed International journal

    M. Shiratani, K. Koga, A. Harikai, T. Ogata, and Y. Watanabe

    MRS Symp. Proc.   762   2003.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/PROC-762-A9.5

  • シランプラズマ中のクラスタ成長と薄膜形成

    白谷正治, 古閑一憲, 尾形隆則, 掛谷知秀, 鹿口直斗, 渡辺征夫

    信学技報   103 ( 6 )   2003.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Growth of Clusters in Silane Plasma and Their Relation to Deposition of Thin Films

  • Anisotropic Plasma Chemical Vapor Deposition of Copper Films in Trenches Reviewed International journal

    K. Takenaka, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, M. Shiratani, and Y. Watanabe

    MRS Symp. Proc.   766   2003.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/PROC-766-E3.8

  • The changes of particle charge distribution during rapid growth of partilcles in the plasma reactor Reviewed International journal

    KS Kim, DJ Kim, JH Yoon, JY Park, Y Watanabe, M Shiratani

    J. Colloid Interface Sci.   257 ( 2 )   2003.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/S0021-9797(02)00049-8

  • Anisotropic Deposition of Copper by H-Assisted Plasma Chemical Vapor Deposition Reviewed International journal

    K. Takenaka, M. Shiratani, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, and Y. Watanabe

    Matr. Sci. Semiconductor Processing   5 ( 2 )   2003.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/S1369-8001(02)00108-7

  • Cluster-suppressed plasma CVD for deposition of high quality a-Si:H films Invited Reviewed International journal

    M. Shiratani, M. Kai, K. Koga, and Y. Watanabe

    Thin Solid Films   427 ( 1-2 )   2003.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/S0040-6090(02)01171-9

  • Highly crystalline 5H-polytype of sp3-bonded boron nitride prepared by plasma-packets-assisted pulsed-laser deposition: an ultraviolet light-emitter at 225nm Reviewed International journal

    S. Komatsu, K. Kurashima, H. Kanada, K. Okada, M. Mitomo, Y. Moriyoshi, Y. Shimizu, M. Shiratani, T. Nakano, S. Samukawa

    Appl. Phys. Lett.   81 ( 24 )   2002.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.1527987

  • ナノクラスタ制御プラズマCVDと高品質,光安定a-Si:H太陽電池への応用

    白谷正治, 古閑一憲, 渡辺征夫

    アモルファスセミナーテキスト   2002.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Formation of nano-particles in microgravity plasma Reviewed International journal

    M. Shiratani, K. Koga, Y. Watanabe

    Journal of Japan Society of Microgravity Application   19   2002.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Anisotropic deposition of copper by plasma CVD method International journal

    K. Takenaka, M. Onishi, M. Takenaka, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe

    Proc. Intern. Symp. on Dry Process   2002.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Nucleation and subsequent growth of clusters in reactive plasma (invited lecture paper) Reviewed International journal

    Y. Watanabe, M. Shiratani, K. Koga

    Plasma Sources Sci. Technol.   11   2002.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/11/3A/334

  • 反応性プラズマと材料プロセスの基礎

    白谷正治

    プラズマ・核融合学会サマースクールテキスト   2002.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Anisotropic deposition of Cu with H-assisted plasma CVD International journal

    K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe

    Proc. ESCANPIG16/ICRP5 Joint Meeting   2002.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Carbon nano-particles due to interaction between H2 plasma and carbon wall International journal

    K. Koga, R. Uehara, M. Shiratani, Y. Watanabe, A. Komori

    Proc. ESCANPIG16/ICRP5 Joint Meeting   2002.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Conformal deposition of pure Cu films in trenches by H-assisted plasma CVD using Cu(EDMDD)2 International journal

    K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen

    Proc. ESCANPIG16/ICRP5 Joint Meeting   2002.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Correlation between Si cluster amount in silane HF discharges and quality of a-Si:H films International journal

    M. Shiratani, M. Kai, K. Imabeppu, K. Koga, Y. Watanabe

    Proc. ESCANPIG16/ICRP5 Joint Meeting   2002.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Suppression methods of cluster growth in silane discharges and their application to deposition of super high quality a-Si:H films International journal

    K. Koga, K. Imabeppu, M. Kai, A. Harikai, M. Shiratani, Y. Watanabe

    Proc. Intern. Workshop on Information and Electrical Engineering (IWIE2002)   2002.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition of Cu films in trenches for LIS interconnects by H-assisted plasma CVD method International journal

    K. Takenaka, M. Onishi, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen

    Proc. Intern. Workshop on Information and Electrical Engineering (IWIE2002)   2002.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Clustering phenomena in low-pressure reactive plasma: basis and applications (invited lecture paper) Reviewed International journal

    Y. Watanabe, A. Harikai, K. Koga, M. Shiratani

    Pure Appl. Chem.   74 ( 3 )   2002.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1351/pac200274030483

  • Cluster-suppressed plasma chemical vapor deposition method for high quality hydrogenated amorphous silicon films Reviewed International journal

    K. Koga, M. Kai, M. Shiratani, Y. Watanabe, N. Shikatani

    Jpn. J. Appl. Phys.   41 ( 2B )   2002.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.41.L168

  • Deposition of high-quality Si films by suppressing cluster growth in SiH4 high-frequency discharges International journal

    M. Shiratani, K. Koga, Y. Watanabe

    Proc. Nano-technology Workshop   2002.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • In-situ measurement of size and density of particles in sub-nm to nm size range International journal

    K. Koga, M. Shiratani, Y. Watanabe

    Proc. Nano-technology Workshop   2002.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • プロセスプラズマ中のクラスタ - アモルファスシリコン太陽電池製造用プラズマ中のクラスター Reviewed

    白谷正治, 古閑一憲, 渡辺征夫

    Bulletin of Cluster Sci. Technol.   ( 5 )   2002.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • クラスタ制御プラズマCVD法によるSi薄膜の高品質化

    渡辺征夫, 古閑一憲, 白谷正治

    シリコンテクノロジー   ( 37 )   2002.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • CVDにおける今後の動向を考える Reviewed

    白谷正治

    応用物理   71 ( 1 )   2002.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Deposition of pure copper thin films by H-assisted plasma CVD using a new Cu complex Cu(EDMDD)2 International journal

    K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe

    Proc. Intern. Symp. on Dry Process   2001.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Cluster-less plasma CVD reactor and its application to a-Si:H film deposition Reviewed International journal

    M. Shiratani, K. Koga, Y. Watanabe

    Mat. Res. Soc. Symp. Proc.   2001.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/PROC-664-A5.6

  • Nucleation and subsequent growth of clusters in reactive plasma (invited) International journal

    Y. Watanabe, M. Shiratani, K. Koga

    Proc. Intern. Conf. on Phenomena in Ionized Gases   2001.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Behavior of a particle injected in ion sheath of electropositive and electronegative gas discharges International journal

    M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe

    Proc. Intern. Conf. on Phenomena in Ionized Gases   2001.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Clustering phenomena in low-pressure reactive plasma: base and applications (invited) International journal

    Y. Watanabe, M. Shiratani, K. Koga

    Proc. Intern. Symp. on Plasma Chemistry   2001.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Deposition of super high quality a-Si:H thin films using cluster-suppressed plasma CVD reactor International journal

    K. Koga, T. Sonoda, N. Shikatani, M. Shiratani, Y. Watanabe

    Proc. Intern. Conf. on Phenomena in Ionized Gases   2001.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Development of H-assisted plasma CVD reactor for Cu interconnects International journal

    M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe

    Proc. Intern. Conf. on Phenomena in Ionized Gases   2001.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Contribution of short lifetime radicals to growth of particles in SiH4 HF discharges and effects of particles on deposited films Reviewed International journal

    Y. Watanabe, M. Shiratani, T. Fukuzawa, H. Kawasaki, Y. Ueda, S. Singh, H. Ohkura

    J. Vac. Sci. Technol., A   14 ( 3 )   2001.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.580069

  • H-assisted plasma CVD of Cu films for interconnects in ultra-large-scale integration Invited Reviewed International journal

    M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe

    Sci. Technol. Adv. Mater.   2 ( 3-4 )   2001.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/S1468-6996(01)00131-0

  • In-situ polarization-sensitive laser-light scattering method for simultaneous measurements of two dimensional spatial size and density distributions of particles in plasma Reviewed International journal

    M. Shiratani, H. Kawasaki, T. Fukuzawa, Y. Watanabe

    J. Vac. Sci. Technol., A   14 ( 2 )   2001.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.580152

  • Growth processes of particles in high frequency silane plasma Reviewed International journal

    Y. Watanabe, M. Shiratani, H. Kawasaki, S. Singh, T. Fukuzawa, Y. Ueda, H. Ohkura

    J. Vac. Sci. Technol., A   14 ( 2 )   2001.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.580141

  • Surface reaction probabilities and kinetics of H, SiH3, Si2H5, CH3 and C2H5 during deposition of a-Si:H and a-C:H from H2, SiH4 and CH4 discharges Reviewed International journal

    J. Perrin, M. Shiratani, P. Kae-Nune, H. Videlot, J. Jolly, J. Guillon

    J. Vac. Sci. Technol., A   16 ( 1 )   2001.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.580983

  • Behavior of a particle injected in ion sheath International journal

    M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe

    Proc. of Plasma Science Symp. 2001/ 18th Symp. on Plasma Processing   2001.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Development of cluster-suppressed plasma CVD reactor for high quality a-Si:H film deposition International journal

    M. Shiratani, T. Sonoda, N. Shikatani, K. Koga, Y. Watanabe

    Proc. of Plasma Science Symp. 2001/ 18th Symp. on Plasma Processing   2001.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of H2 dilution and excitation frequency on initial growth of clusters in silane plasma International journal

    K. Koga, K. Tanaka, M. Shiratani, Y. Watanabe

    Proc. Plasma Science Symp. 2001/ 18th Symp. on Plasma Processing   2001.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • H assisted control of quality and conformality in Cu film deposition using plasma CVD method International journal

    M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe

    Proc. Advanced Metallization Conf. 2000   2001.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Measurements of surface reaction probability of SiH3 International journal

    M. Shiratani, N. Shiraishi, K. Koga, Y. Watanabe

    Proc. of Plasma Science Symp. 2001/ 18th Symp. on Plasma Processing   2001.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Plasma CVD method for Cu interconnects in ULSI (invited) International journal

    M. Shiratani, K. Koga, Y. Watanabe

    Proc. of Plasma Science Symp. 2001/ 18th Symp. on Plasma Processing   2001.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Formation kinetics and control of dust particles in capacitively-coupled reactive plasma (invited) Reviewed International journal

    Y. Watanabe, M. Shiratani, K. Koga

    Phys. Scripta   T89   2001.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1238/Physica.Topical.089a00029

  • Methods of suppressing cluster growth in silane rf discharges Reviewed International journal

    M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe

    Mat. Res. Soc. Symp. Proc.   2000.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/PROC-609-A5.6

  • Deposition of smooth thin Cu films in deep submicron trench by plasma CVD reactor with H atom source Reviewed International journal

    H. J. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Kinoshita, Y. Watanabe

    Mat. Res. Soc. Symp. Proc.   2000.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1557/PROC-612-D9.2.1

  • In situ observation of nucleation and subsequent growth of clusters in silane rf discharges Reviewed International journal

    K. Koga, Y. Matsuoka, M. Shiratani, Y. Watanabe

    Appl. Phys. Lett.   77 ( 2 )   2000.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Filling subquater-micron trench structure with high-purity copper using plasma reactor with H atom source Reviewed

    H. J. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Kinoshita, Y. Watanabe

    Res. Rep. ISEE Kyushu Univ.   5 ( 1 )   2000.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Growth processes of particles up to nanometer size in high-frequency SiH4 plasma Reviewed International journal

    Y. Watanabe, M. Shiratani, T. Fukuzawa, K. Koga

    Jour. Technical Phys.   41 ( 1 )   2000.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  • Effects of gas temperature gradient, pulse discharge modulation, and hydrogen dilution on particle growth in silane rf discharges Reviewed International journal

    M. Shiratani, S. Maeda, K. Koga, Y. Watanabe

    Jpn. J. Appl. Phys.   39 ( 1 )   2000.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.39.287

  • Growth of Particles in Cluster-size Range in Low Pressure and Low Power SiH4 RF Discharges Reviewed International journal

    T. Fukuzawa, S. Kushima, Y. Matsuoka, M. Shiratani, Y. Watanabe

    J. Appl. Phys.   86 ( 7 )   1999.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.371256

  • Particle Growth Kinetics in Silane RF Discharges Reviewed International journal

    M. Shiratani, T. Fukuzawa, Y. Watanabe

    Jpn. J. Appl. Phys.   38 ( 7B )   1999.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.38.4542

  • Conformal Deposition of High-Purity Copper Using Plasma Reactor Reviewed International journal

    H. Jin, M. Shiratani, Y. Nakatake, T. Fukuzawa, T. Kinoshita, Y. Watanabe, M. Toyofuku

    Jpn. J. Appl. Phys.   38 ( 7B )   1999.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.38.4492

  • Effects of Gas Flow on Particle Growth in Silane RF Discharges Reviewed International journal

    Y. Matsuoka, M. Shiratani, T. Fukuzawa, Y. Watanabe, K. Kim

    Jpn. J. Appl. Phys.   38 ( 7B )   1999.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.38.4556

  • フォトンカウンティングレーザ散乱法によるプラズマ中の微粒子計測 Reviewed

    白谷正治, 渡辺征夫

    応用物理   68 ( 5 )   1999.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • 水素ラジカル源付プラズマCVD装置による高品質銅薄膜の形成 Reviewed

    白谷正治, 渡辺征夫

    応用物理   68 ( 3 )   1999.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Deposition of high-quality Cu thin films using plasma CVD reactor with H-radical source

  • CVDプラズマにおけるクラスター成長

    渡辺征夫, 白谷正治

    プラズマ材料科学第153委員会第42回研究会テキスト   1999.3

     More details

    Language:Japanese   Publishing type:Research paper (other academic)  

  • Transition of particle growth region in SiH4 rf discharges Reviewed International journal

    H. Kawasaki, K. Sakamoto, S. Maeda, T. Fukuzawa, M. Shiratani, Y. Watanabe

    Jpn. J. Appl. Phys.   37 ( 10 )   1998.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.37.5757

  • Density profile of SiH3 in SiH4 rf discharges International journal

    M. Shiratani, A. Minemoto, T. Fukuzawa, Y. Watanabe

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Development of photon-counting laser-light-scatter- ing method for size and density measurements of nano-particles in processing plasma International journal

    S. Maeda, K. Sakamoto, T. Fukuzawa, M. Shiratani, Y. Watanabe

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Effects of gas flow on particle growth in silane rf discharges International journal

    Y. Matsuoka, M. Shiratani, T. Fukuzawa, Y. Watanabe, K. Kim

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Growth mechanism of particles in cluster-size range in SiH4 rf discharges using threshold photoemission method International journal

    T. Fukuzawa, S. Kushima, Y. Matsuoka, M. Shiratani, Y. Watanabe

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Particle formation in rf discharges International journal

    M. Shiratani, T. Fukuzawa, Y. Watanabe

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Study on particle formation in germane rf discharges by photon-counting laser-light-scattering method International journal

    H. Kawasaki, K. Sakamoto, S. Maeda, T. Fukuzawa, M. Shiratani, Y. Watanabe

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Trajectory of particle injected from plasma reactor wall International journal

    Y. Watanabe, A. Hatae, T. Fukuzawa, M. Shiratani

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Trench filling and deposition of high quality Cu thin films using CVD plasma reactor with H radical source International journal

    H. J. Jin, M. Shiratani, T. Fukuzawa, Y. Watanabe

    Proc. 4th Intern. Conf. on Reactive Plasma   1998.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Study on initial growth of particles in low-pressure and low-power GeH4 rf discharges using the high-sensitivity photon-counting laser-light-scattering method Reviewed International journal

    H. Kawasaki, K. Sakamoto, S. Maeda, T. Fukuzawa, M. Shiratani, Y. Watanabe

    Jpn. J. Appl. Phys.   37 ( 10B )   1998.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.37.L1264

  • A study on the time evolution of SiH3 surface loss probability on hydrogenated amorphous silicon films in SiH4 rf discharges using infrared diode-laser absorption spectroscopy Reviewed International journal

    M. Shiratani, H. Kawasaki, T. Fukuzawa, Y. Watanabe, Y. Yamamoto, S. Suganuma, M. Hori, T. Goto

    J. Phys. D: Appl. Phys.   31 ( 7 )   1998.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/31/7/004

  • Study on growth processes of particles in germane radio frequency discharges using laser light scattering and scanning electron microscopic methods Reviewed International journal

    H. Kawasaki, J. Kida, K. Sakamoto, T. Fukuzawa, M. Shiratani, Y. Watanabe

    J. Appl. Phys.   83 ( 11 )   1998.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.36742

  • Development of photon-counting laser-light-scatter- ing method for detection of nano-particles fromed in CVD plasma Reviewed International journal

    M. Shiratani, Y. Watanabe

    Rev. Laser Eng.   26 ( 6 )   1998.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.2184/lsj.26.449

  • Similarities in spatial distributions of absolute GeH2 density, radical producition rate and particle amount in GeH4 discharges Reviewed International journal

    H. Kawasaki, J. Kida, K. Sakamoto, T. Fukuzawa, M. Shiratani, Y. Watanabe

    Jpn. J. Appl. Phys.   37 ( 4B )   1998.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.37.L475

  • シラン高周波放電中の微小微粒子のサイズと密度その場測定法の開発

    福澤 剛, 宮原 弘臣, 串間 真二, 川崎 仁晴, 白谷正治, 渡辺征夫

    システム情報科学研究科報告   3 ( 1 )   1998.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • 小特集 ダストプラズマの現状と課題 5.プラズマプロセスにおける微粒子現象

    白谷正治, 渡辺征夫

    プラズマ・核融合学会   73 ( 11 )   1997.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  • Surface Reaction Kinetics of CH3 in CH4 RF Discharge Studied by Time-Resolved Threshold Ionization Mass Spectrometry Reviewed International journal

    M. Shiratani, J. Jolly, H. Videlot, J. Perrin

    Jpn. J. Appl. Phys.   36 ( 7B )   1997.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.36.4752

  • Roles of SiH3 and SiH2 radicals in particle growth in rf silane plasma Reviewed International journal

    H. Kawasaki, H. Ohkura, T. Fukuzawa, M. Shiratani, Y. Watanabe, Y. Yamamoto, S. Suganuma, M. Hori, T. Goto

    Jpn. J. Appl. Phys.   36 ( 7B )   1997.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.36.4985

  • Effects of H2 dilution on surface loss probability of CH3 on a-C:H in CH4+H2 rf discharges Reviewed International journal

    H. Videlot, M. Shiratani, J. Jolly, J. Perrin

    Proc. Intern. Conf. on Phenomena in Ionized Gases   3   1997.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Surface reaction kinetics of CH3 in CH4 RF discharges studied by time-resolved Ms spectroscopy Reviewed International journal

    M. Shiratani, J. Jolly, H. Videlot, J. Perrin

    Proc. 3rd Intern. Conf. on Reactive Plasma   3   1997.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Growth and behavior of particles below nanometer in size in silane plasma using threshold photoemission method Reviewed International journal

    T. Fukuzawa, H. Miyahara, K. Nishimura, H. Kawasaki, M. Shiratani, Y. Watanabe

    Proc. 3rd Intern. Conf. on Reactive Plasma   3   1997.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Particle Formation in High Frequency CVD Plasma Reviewed International journal

    Y. Watanabe, T. Fukuzawa, H. Kawasaki, M. Shiratani

    Proc. 3rd Intern. Conf. on Reactive Plasma   3   1997.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Study on growth processes of particles in rf SiH4 plasma Reviewed International journal

    H. Kawasaki, H. Ohkura, T. Fukuzawa, M. Shiratani, Y. Watanabe, Y. Yamamoto, S. Suganuma, M. Hori, T. Goto

    Proc. 3rd Intern. Conf. on Reactive Plasma   3   1997.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

  • Diagnostic of plasma for metal-organic chemical vapour deposition of Cu and fabrication of Cu thin films using the plasma Reviewed International journal

    M. Shiratani, H. Kawasaki, T. Fukuzawa, Y. Watanabe

    J. Phys. D: Appl. Phys.   29 ( 1 )   1996.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/29/11/005

  • Detection of particles in rf silane plasma using photoemission method Reviewed International journal

    T. Fukuzawa, K. Obata, H. Kawasaki, M. Shiratani, Y. Watanabe

    J. Appl. Phys.   80 ( 6 )   1996.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.363273

  • Production of size-controlled Si fine particules using pulsed rf dischange Reviewed International journal

    M. Shiratani, H. Kawasaki, T. Fukuzawa, Y. Watanabe

    Surf. Rev. Lett.   3 ( 1 )   1996.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1142/S0218625X96000176

  • Two-dimensional spatial profiles of size and density of particulates grown in RF silane plasmas Reviewed International journal

    M. Shiratani, N. Kishigaki, H. Kawasaki, T. Fukuzawa, Y. Watanabe

    IEEE Trans. Plasma Science   24 ( 1 )   1996.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/27.491731

  • Simultaneous in-situ measurements of properties of particulates in rf silane plasma using a polarization-sensitive laser-light-scattering method Reviewed International journal

    M. Shiratani, H. Kawasaki, T. Fukuzawa, T. Yoshioka, Y. Ueda, S. Singh, Y. Watanabe

    J. Appl. Phys.   79 ( 1 )   1996.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.360916

  • Detection of Negative Ions in a Helium-Silane RF Plasma Reviewed

    M. Shiratani, T. Fukuzawa, K. Eto, Y. Watanabe

    Jpn. J. Appl. Phys. Pt. 2, Letters   31 ( 12 )   1992.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.31.L1791

  • Effects of carbon nanoparticle insertion on stress reduction in hydrogenated amorphous carbon films using plasma chemical vapor deposition

    Ono S., Hwang S.H., Okumura T., Yamashita N., Kamataki K., Kiyama H., Itagaki N., Koga K., Shiratani M.

    Diamond and Related Materials   150   2024.12   ISSN:09259635

     More details

    Publisher:Diamond and Related Materials  

    Stress reduction in hydrogenated amorphous carbon (a-C:H) films is of considerable interest for improving their performance as protective films. Herein, we reduced the compressive stress of the films by inserting carbon nanoparticles (CNPs) between two a-C:H layers to form an a-C:H/CNP/a-C:H sandwich structure using a system of plasma chemical vapor deposition (CVD). We measured the deposition features of the CNPs via transmission electron microscopy (TEM) and assessed the dependence of the stress on the thickness of the second a-C:H layer as a parameter of surface coverage, Cp. We represented the stress reduction as a function of Cp; mean size of large CNPs, and thickness of the first and second a-C:H layers. In addition, the surface and film morphologies were investigated using atomic force microscopy (AFM) and cross-sectional scanning electron microscopy (SEM). Compared with films without CNPs, those containing CNPs with 8.9 % Cp showed 36 % lower stress when the thickness of the second a-C:H layer was similar to that of the first (154 nm). The deposited CNPs exhibited two size groups, with mean sizes of the small and large CNPs of 5 and 16 nm, respectively. Stress reduction was strongly correlated with the distance between large CNPs. The insertion of CNPs between a-C:H films affects the surface morphology of the second layer, and the stress decreases when the growth stage of the film starts transitioning from three-dimensional (3D) to two-dimensional (2D).

    DOI: 10.1016/j.diamond.2024.111654

    Scopus

  • Real-time live imaging of cytosolic hydrogen peroxide and Ca<sup>2+</sup> of Marchantia polymorpha gemmalings reveal immediate initial responses of plant cells triggered by nonthermal plasma irradiation

    Tsuboyama S., Okumura T., Watanabe K., Koga K., Shiratani M., Kuchitsu K.

    Plant Physiology and Biochemistry   216   109172   2024.11   ISSN:09819428

     More details

    Language:English   Publisher:Plant Physiology and Biochemistry  

    Cold atmospheric pressure plasma generators capable of generating plasma under normal pressure and temperature conditions have recently been developed, and their biological applications have been extensively studied. Plasma irradiation has been reported to affect plant germination and growth; however, the molecular mechanism underlying these effects and initial cellular responses to plasma irradiation remains poorly understood. To unravel the molecular and cellular mechanisms underlying the effects of plasma irradiation on plants, we have been establishing novel experimental systems using a model liverwort Marchantia polymorpha. We here focused on the initial responses of plant cells to plasma irradiation. To investigate immediate cellular responses following plasma irradiation, we developed a new plasma device that allows irradiation under a microscope. Through integration with live fluorescence imaging, we established an experimental setup to track, the dynamics of intracellular concentration of H2O2 and Ca2+ as representative initial cellular responses. We revealed that plasma irradiation induced a rapid and transient increase in intracellular concentration of H2O2 and Ca2+ in Marchantia gemmalings. Pharmacological analyses suggested that the long-lived reactive species, H2O2, generated by the plasma generator was directly delivered into the plant cells. Competitive inhibitors of Ca2+ channels abolished the Ca2+ rise, suggesting that plasma irradiation immediately activate plasma membrane Ca2+ channel(s) to induce Ca2+ influx. Importantly, this study marks the inaugural demonstration of real-time monitoring of cytosolic H2O2 and Ca2+ dynamics in plants, triggered by plasma irradiation.

    DOI: 10.1016/j.plaphy.2024.109172

    Scopus

    PubMed

  • Effects of Corm Treatment with Cold Plasma and Electromagnetic Field on Growth and Production of Saffron Metabolites in <i>Crocus sativus</i>

    Mildaziene, V; Zukiene, R; Fomins, LD; Nauciene, Z; Minkute, R; Jarukas, L; Drapak, I; Georgiyants, V; Novickij, V; Koga, K; Shiratani, M; Mykhailenko, O

    INTERNATIONAL JOURNAL OF MOLECULAR SCIENCES   25 ( 19 )   2024.10   ISSN:1661-6596 eISSN:1422-0067

     More details

    Language:English   Publisher:International Journal of Molecular Sciences  

    Crocus sativus L. is a widely cultivated traditional plant for obtaining dried red stigmas known as “saffron,” the most expensive spice in the world. The response of C. sativus to pre-sowing processing of corms with cold plasma (CP, 3 and 5 min), vacuum (3 min), and electromagnetic field (EMF, 5 min) was assessed to verify how such treatments affect plant performance and the quality and yield of herbal raw materials. The results show that applied physical stressors did not affect the viability of corms but caused stressor-dependent changes in the kinetics of sprouting, growth parameters, leaf trichome density, and secondary metabolite content in stigmas. The effect of CP treatment on plant growth and metabolite content was negative, but all stressors significantly (by 42–74%) increased the number of leaf trichomes. CP3 treatment significantly decreased the length and dry weight of flowers by 43% and 60%, respectively, while EMF treatment increased the length of flowers by 27%. However, longer CP treatment (5 min) delayed germination. Vacuum treatment improved the uniformity of germination by 28% but caused smaller changes in the content of stigma compounds compared with CP and EMF. Twenty-six compounds were identified in total in Crocus stigma samples by the HPLC-DAD method, including 23 crocins, rutin, picrocrocin, and safranal. Processing of Crocus corms with EMF showed the greatest efficiency in increasing the production of secondary metabolites in saffron. EMF increased the content of marker compounds in stigmas (crocin 4: from 8.95 to 431.17 mg/g; crocin 3: from 6.27 to 164.86 mg/g; picrocrocin: from 0.4 to 1.0 mg/g), although the observed effects on growth were neutral or slightly positive. The obtained findings indicate that treatment of C. sativus corms with EMF has the potential application for increasing the quality of saffron by enhancing the amounts of biologically active compounds.

    DOI: 10.3390/ijms251910412

    Web of Science

    Scopus

    PubMed

  • Capture and Conversion of CO2 from Ambient Air Using Ionic Liquid-Plasma Combination

    Sukma Wahyu Fitriani, Takamasa Okumura, Kunihiro Kamataki, Kazunori Koga, Masaharu Shiratani, Pankaj Attri

    Plasma Chemistry and Plasma Processing   2024.8   ISSN:0272-4324 eISSN:1572-8986

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    DOI: 10.1007/s11090-024-10500-9

    researchmap

    Other Link: https://link.springer.com/article/10.1007/s11090-024-10500-9/fulltext.html

  • Capture and Conversion of CO<sub>2</sub> from Ambient Air Using Ionic Liquid-Plasma Combination

    Fitriani, SW; Okumura, T; Kamataki, K; Koga, K; Shiratani, M; Attri, P

    PLASMA CHEMISTRY AND PLASMA PROCESSING   2024.8   ISSN:0272-4324 eISSN:1572-8986

  • Effects of Supplied Gas on Plasma-Induced Liquid Flows

    Kecheng Shen, Heping Shi, Kazunori Koga, Masaharu Shiratani, Toshiyuki Kawasaki

    IEEE Transactions on Plasma Science   52 ( 6 )   2130 - 2136   2024.8   ISSN:0093-3813 eISSN:1939-9375

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Institute of Electrical and Electronics Engineers (IEEE)  

    In this work, we investigated the effects of supply gas (helium and argon gases) on plasma-induced liquid flows using particle image velocimetry (PIV). The results indicated that only the downward flow that extended to the liquid depth direction was generated when helium gas was supplied. However, the liquid flows switched with the increase in voltage when argon gas was supplied. We also discovered current waveforms and the optical emission spectroscopy results were greatly changed with the types of plasma-induced liquid flows. According to the experimental results for the argon gas, we also successfully switched and controlled the plasma-induced liquid flow by changing the voltage only.

    DOI: 10.1109/TPS.2024.3432879

    Web of Science

    Scopus

    researchmap

  • Fundamental Study on Novel Biological Indicator Using DNA-Labeled Microbeads for Evaluating Nonthermal Plasma Sterilization

    Nakano, M; Okumura, T; Inaba, M; Attri, P; Koga, K; Shiratani, M; Suehiro, J

    IEEE SENSORS LETTERS   8 ( 8 )   2024.8   ISSN:2475-1472

     More details

    Publisher:IEEE Sensors Letters  

    Nonthermal atmospheric-pressure discharge plasma is considered important for sterilization. Reactive species, such as active oxygen species, radicals, and nitrate ions, generated by the discharge plasma damage the target bacterial cell wall/membrane and DNA. Several plasma sterilization methods have been proposed, including dielectric barrier discharge (DBD). To achieve effective sterilization, it is necessary to evaluate their characteristics using many parameters. This letter aims to demonstrate a proof-of-concept of a novel biological indicator for plasma sterilization. A biological indicator is used to verify sterilization outcomes. We employ DNA-labeled microbeads as biological indicators for the rapid visualization of plasma sterilization. This is based on our recently developed method for visual detection of DNA molecules. If plasma-derived factors cause the degradation of the DNA attached to the microbeads, this can be confirmed by visualization. Herein, we present the correlation between sterilization and visualization in the case of DBD. This method offers a rapid evaluation of plasma sterilization because it easily and quickly determines the sterilization capability of the plasma.

    DOI: 10.1109/LSENS.2024.3420437

    Web of Science

    Scopus

  • Effect of nanoscale inhomogeneity on blocking temperature of ZnO:Co films fabricated by using nitrogen-mediated crystallization

    Marlis N. Agusutrisno, Takamasa Okumura, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Naoto Yamashita

    MRS Advances   2024.7   ISSN:2731-5894 eISSN:2059-8521

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Springer Science and Business Media LLC  

    Diluted magnetic semiconductors (DMS) have attracted interest for the potential applications of spintronic devices. The origin of the temperature dependence of their ferromagnetism has been debated and has not been concluded yet. A potential conclusion is that nanoscale inhomogeneity largely affects the temperature dependence of the magnetization in DMS even if the structure and compositions are not largely different. We examined this hypothesis by implementing nitrogen-mediated crystallization consisting of sputtering deposition of amorphous film and solid-phase crystallization by thermal annealing. A series of samples with different inhomogeneities were prepared by changing the annealing time. No significant changes in the composition and the structure were observed after annealing for various times, while significant enhancements were observed in the coercivity, blocking temperature, and grain size. These results provide clear understanding in the temperature dependence of the ferromagnetism in DMS and direct evidence of the potential conclusion on the long-lasting debate. Graphical abstract: (Figure presented.)

    DOI: 10.1557/s43580-024-00907-z

    Web of Science

    Scopus

    researchmap

    Other Link: https://link.springer.com/article/10.1557/s43580-024-00907-z/fulltext.html

  • Deposition of hydrogenated amorphous carbon films by CH<sub>4</sub>/Ar capacitively coupled plasma using tailored voltage waveform discharges

    Otaka, M; Otomo, H; Ikeda, K; Lai, JS; Wakita, D; Kamataki, K; Koga, K; Shiratani, M; Nagamatsu, D; Shindo, T; Matsudo, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   63 ( 7 )   2024.7   ISSN:0021-4922 eISSN:1347-4065

     More details

    Publisher:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We investigated the effects of tailored voltage waveform (TVW) discharges on the deposition of hydrogenated amorphous carbon (a-C:H) films in CH4/Ar capacitively coupled plasma. TVW discharges employ two driving radio frequencies (13.56 MHz and 27.12 MHz) and control their phase shifts to independently regulate ion bombardment energy (IBE) and ion flux. In this study, a-C:H films were deposited by changing DC-self bias with phase shift and constant applied voltage peak-to-peak. Additionally, we investigated phase-resolved optical emission spectroscopy (PROES) for plasma characterization. As a result, plasma-enhanced CVD (PECVD) for a-C:H films using TVW discharges realize control of film properties such as mass density, sp3 fraction, and H content, while keeping the deposition rate constant. Thus, it is suggested that TVW discharges realize the independent control of IBE and ion flux with high accuracy, highlighting its utility in a-C:H film depositions.

    DOI: 10.35848/1347-4065/ad53b0

    Web of Science

    Scopus

  • Large-scale fabrication of thulium iron garnet film with perpendicular magnetic anisotropy using RF magnetron sputtering

    Marlis N. Agusutrisno, Sora Obinata, Takamasa Okumura, Kunihiro Kamataki, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Naoto Yamashita

    Japanese Journal of Applied Physics   63 ( 7 )   07SP06 - 07SP06   2024.7   ISSN:0021-4922 eISSN:1347-4065

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    Abstract

    Large-scale fabrication of thulium iron garnet (TmIG) films on gadolinium gallium garnet (GGG) substrates, with a total area of 25 cm<sup>2</sup>, has been demonstrated by rotating substrate holders during on-axis sputtering. By optimizing the growth parameters based on the pressure and flow rate of the oxygen ratio, a Tm/Fe ratio of 0.65 was obtained, which is close to the stoichiometry of TmIG. The increase in post-annealing temperature has induced the growth of the TmIG structure by the strain of the lattice constant mechanism. At the highest post-annealing temperature, the crystal structure of TmIG (444) and the perpendicular magnetic anisotropy (PMA) were obtained. This result demonstrates the potential method for large-scale fabrication of TmIG film with PMA.

    DOI: 10.35848/1347-4065/ad5aff

    Web of Science

    Scopus

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/ad5aff/pdf

  • Sputtering deposition of dense and low-resistive amorphous In2O3: Sn films under ZONE-T conditions of Thornton's structural diagram

    Yoshiharu Wada, Wafaa Magdy, Keigo Takeda, Yuta Mido, Naoto Yamashita, Takamasa Okumura, Kunihiro Kamataki, Kazunori Koga, Masaru Hori, Masaharu Shiratani, Naho Itagaki

    Applied Physics Letters   124 ( 24 )   2024.6   ISSN:0003-6951 eISSN:1077-3118

     More details

    Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    We have fabricated smooth-surfaced amorphous In2O3:Sn (a-ITO) films at a high temperature of 550 °C, far above the typical crystallization threshold of 150 °C for ITO films. This achievement has been made possible by intentionally introducing N2 into the sputtering atmosphere, which maintains a low N atom incorporation of only a few atomic percent within the films. Positioned within ZONE-T of the Thornton diagram (higher-temperature region characterized by high film density), our method allows the preparation of films with superior film density about 6.96 g/cm3, substantially exceeding the density of 6.58 g/cm3 for conventional a-ITO films fabricated under ZONE-1 (low-temperature region) and approaching the bulk crystal density of In2O3 at 7.12 g/cm3. The films also feature a high carrier density of 5 × 1020 cm−3 and a remarkably low resistivity of 3.5 × 10−4 Ω cm, comparable to those of polycrystalline films. The analysis via vacuum-ultraviolet absorption spectroscopy on N and O atom densities in the plasma suggests that amorphization is primarily caused not by N atoms incorporated in the films but by those temporally adsorbed on the film surface, inhibiting crystal nucleation before eventually desorbing. Our findings will pave the way not only for broader applications of a-ITO films but also for the design of other amorphous materials at temperatures beyond their crystallization points.

    DOI: 10.1063/5.0211090

    Web of Science

    Scopus

    researchmap

  • Sputter deposition of ZnO-AlN pseudo-binary amorphous alloys with tunable band gaps in the deep ultraviolet region

    Urakawa, S; Magdy, W; Wada, Y; Narishige, R; Kaneshima, K; Yamashita, N; Okumura, T; Kamataki, K; Koga, K; Shiratani, M; Itagaki, N

    MATERIALS RESEARCH EXPRESS   11 ( 6 )   2024.6   eISSN:2053-1591

     More details

    Publisher:Materials Research Express  

    ZnO-AlN pseudo-binary amorphous alloys (a-ZAON hereinafter) with tunable band gaps in the deep ultraviolet (DUV) region have been synthesized using magnetron sputtering. The miscibility gap between ZnO and AlN has been overcome using room-temperature sputtering deposition, leveraging the rapid quenching abilities of sputtered particles to fabricate metastable but single-phase alloys. X-ray diffraction patterns and optical transmittance spectra revealed that the synthesized films with chemical composition ratios of [Zn]/([Zn] + [Al]) = 0.24-0.79 likely manifested as single-phase of a-ZAON films. Despite their amorphous structures, these films presented direct band gaps of 3.4-5.8 eV and thus high optical absorption coefficients (105 cm−1). Notably, the observed values adhered to Vegard’s law for crystalline ZnO-AlN systems, implying that the a-ZAON films were solid solution alloys with atomic-level mixing. Furthermore, atomic force microscopy analyses revealed smooth film surfaces with root-mean-square roughness of 0.8-0.9 nm. Overall, the wide-ranging band gap tunability, high absorption coefficients, amorphous structures, surface smoothness, and low synthesis temperatures of a-ZAON films position them as promising materials for use in DUV optoelectronic devices and power devices fabricated using large-scale glass and flexible substrates.

    DOI: 10.1088/2053-1591/ad4f57

    Web of Science

    Scopus

  • Response of lettuce seeds undergoing dormancy break and early senescence to plasma irradiation

    Okumura, T; Anan, T; Shi, HP; Attri, P; Kamataki, K; Yamashita, N; Itagaki, N; Shiratani, M; Ishibashi, Y; Koga, K; Mildaziene, V

    APPLIED PHYSICS EXPRESS   17 ( 5 )   2024.5   ISSN:1882-0778 eISSN:1882-0786

     More details

    Publisher:Applied Physics Express  

    This study reports the response of lettuce seeds undergoing dormancy breaking and early senescence to DBD plasma irradiation. A heat map of germination percentages at 12 h reveals that dormancy has broken at 39 days' storage, and that one minute of plasma irradiation enhances germination in dormant seeds. Plasma irradiation does not affect those seeds where dormancy has already broken. Early senescence via storage was estimated using ESR measurements and the molecular modification of quercetin. This study reveals that lettuce seed susceptibility to plasma irradiation depends on storage duration and conditions, with dormancy state as a critical variable modulating the impact of plasma irradiation.

    DOI: 10.35848/1882-0786/ad3798

    Web of Science

    Scopus

  • Plasma–ionic liquid-assisted CO2 capture and conversion: A novel technology

    Pankaj Attri, Kazunori Koga, Jamoliddin Razzokov, Takamasa Okumura, Kunihiro Kamataki, Tomohiro Nozaki, Masaharu Shiratani

    Applied Physics Express   17 ( 4 )   2024.4   ISSN:1882-0778 eISSN:1882-0786

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The present study focused on CO2 capture, storage, and conversion through the innovative integration of plasma-ionic liquid (IL) technology. For the first time, we employed plasma-IL technology to confront climate change challenges. We utilized 1-Butyl-3-methylimidazolium chloride IL to capture and store CO2 under atmospheric pressure, and subsequently employed plasma to induce the transformation of IL-captured CO2 into CO. Furthermore, we performed computer simulations to enhance our understanding of the CO2 and CO capture processes of water and IL solutions. This comprehensive approach provides valuable insights into the potential of plasma-IL technology as a viable solution for climate change.

    DOI: 10.35848/1882-0786/ad33ea

    Web of Science

    Scopus

    researchmap

  • Fundamental Study of Carbon Dioxide Reduction Reaction with Plasma Catalysis

    TOKO Susumu, OKUMURA Takamasa, KAMATAKI Kunihiro, TAKENAKA Kosuke, KOGA Kazunori, SHIRATANI Masaharu, SETSUHARA Yuichi

    Journal of Smart Processing   13 ( 1 )   31 - 36   2024.1   ISSN:2186702X eISSN:21871337

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Smart Processing Society for Materials, Environment & Energy (High Temperature Society of Japan)  

     Carbon dioxide reduction is one of the key technologies for achieving a sustainable society. In this study, plasma catalysis were used to hydrogenate carbon dioxide to produce methane. Plasma catalysis have attracted attention in recent years as a technology promoting a reaction at lower temperatures by various synergistic effects between plasma and catalysts. In this study, molecular sieve with a pore diameter of 3 Å was used as a catalyst and its role was investigated. The results showed that: 1. molecular and atomic adsorption functions of molecular sieves are useful to inhibit reverse reactions; 2. the influence of molecular sieves becomes stronger at higher pressures, resulting in higher methane production; 3. energetic reactive particles derived from hydrogen deactivate molecular sieves; 4. molecules adsorbed on molecular sieve can be recycled by hydrogen plasma irradiation.

    DOI: 10.7791/jspmee.13.31

    CiNii Research

    researchmap

  • Subchronic toxicity sutdy of indium-tin oxide nanoparticles following intratracheal administration into the lungs of rats(タイトル和訳中)

    Matsumura Nagisa, Tanaka Yu-ki, Ogra Yasumitsu, Koga Kazunori, Shiratani Masaharu, Nagano Kasuke, Tanaka Akiyo

    Journal of Occupational Health   66 ( 1 )   uiae019 - uiae019   2024   ISSN:1341-9145

     More details

    Language:English   Publisher:(公社)日本産業衛生学会  

  • Subchronic toxicity study of indium-tin oxide nanoparticles following intratracheal administration into the lungs of rats

    Matsumura Nagisa, Tanaka Yu-ki, Ogra Yasumitsu, Koga Kazunori, Shiratani Masaharu, Nagano Kasuke, Tanaka Akiyo

    Journal of Occupational Health   66 ( 1 )   n/a   2024   ISSN:13419145 eISSN:13489585

     More details

    Language:English   Publisher:Japan Society for Occupational Health  

    <p><b>Objectives:</b> We aimed to analyze the subchronic toxicity and tissue distribution of indium after the intratracheal administration of indium-tin oxide nanoparticles (ITO NPs) to the lungs of rats.</p><p><b>Methods:</b> Male Wistar rats were administered a single intratracheal dose of 10 or 20 mg In/kg body weight (BW) of ITO NPs. The control rats received only an intratracheal dose of distilled water. A subset of rats was periodically euthanized throughout the study from 1 to 20 weeks after administration. Indium concentrations in the serum, lungs, mediastinal lymph nodes, kidneys, liver, and spleen as well as pathological changes in the lungs and kidneys were determined. Additionally, the distribution of ionic indium and indium NPs in the kidneys was analyzed using laser ablation-inductively coupled plasma mass spectrometry.</p><p><b>Results:</b> Indium concentrations in the lungs of the 2 ITO NP groups gradually decreased over the 20-week observation period. Conversely, the indium concentrations in the mediastinal lymph nodes of the 2 ITO groups increased and were several hundred times higher than those in the kidneys, spleen, and liver. Pulmonary and renal toxicities were observed histopathologically in both the ITO groups. Both indium NPs and ionic indium were detected in the kidneys, and their distributions were similar to the strong indium signals detected at the sites of inflammatory cell infiltration and tubular epithelial cells.</p><p><b>Conclusions:</b> Our results demonstrate that intratracheal administration of 10 or 20 mg In/kg BW of ITO NPs in male rats produces pulmonary and renal toxicities.</p>

    DOI: 10.1093/joccuh/uiae019

    Web of Science

    Scopus

    PubMed

    CiNii Research

  • Capture and Conversion of CO<inf>2</inf> from Ambient Air Using Ionic Liquid-Plasma Combination

    Sukma Wahyu Fitriani, Takamasa Okumura, Kunihiro Kamataki, Kazunori Koga, Masaharu Shiratani, Pankaj Attri

    Plasma Chemistry and Plasma Processing   2024   ISSN:0272-4324 eISSN:1572-8986

     More details

    Publishing type:Research paper (scientific journal)  

    Climate change is considered one of the main challenges in this century, and CO2 emissions significantly cause it. Integrating CO2 capture, storage, and conversion is proposed to solve this problem. 1-Butyl-3-methylimidazolium chloride ([Bmim]Cl) ionic liquid was employed to capture and store CO2 from the air and subsequently converted into CO using non-thermal plasma. Moreover, we also tested the CO2 capture and storage capacity of water from different sources, e.g., Milli-Q, deionized water, and tap water. [Bmim]Cl solution captured CO2 from the air and then converted to CO after 24 h using plasma. In comparison with water (Milli-Q water, deionized water, and tap water), CO production was increased by 28.31% in the presence of water (Milli-Q water, deionized water, and tap water) + [Bmim]Cl. It suggests that this method could be a promising way to capture, store, and convert CO2 from air at atmospheric pressure and room temperature as an effort to reduce carbon emission.

    DOI: 10.1007/s11090-024-10500-9

    Scopus

    researchmap

  • Capture and Conversion of CO<inf>2</inf> from Ambient Air Using Ionic Liquid-Plasma Combination

    Fitriani S.W., Okumura T., Kamataki K., Koga K., Shiratani M., Attri P.

    Plasma Chemistry and Plasma Processing   2024   ISSN:02724324

     More details

    Publisher:Plasma Chemistry and Plasma Processing  

    Climate change is considered one of the main challenges in this century, and CO2 emissions significantly cause it. Integrating CO2 capture, storage, and conversion is proposed to solve this problem. 1-Butyl-3-methylimidazolium chloride ([Bmim]Cl) ionic liquid was employed to capture and store CO2 from the air and subsequently converted into CO using non-thermal plasma. Moreover, we also tested the CO2 capture and storage capacity of water from different sources, e.g., Milli-Q, deionized water, and tap water. [Bmim]Cl solution captured CO2 from the air and then converted to CO after 24 h using plasma. In comparison with water (Milli-Q water, deionized water, and tap water), CO production was increased by 28.31% in the presence of water (Milli-Q water, deionized water, and tap water) + [Bmim]Cl. It suggests that this method could be a promising way to capture, store, and convert CO2 from air at atmospheric pressure and room temperature as an effort to reduce carbon emission.

    DOI: 10.1007/s11090-024-10500-9

    Scopus

  • プラズマ活性化乳酸リンゲル液が癌細胞に及ぼす影響 遺伝毒性の評価(Effects of plasma-activated Ringer's lactate solution on cancer cells: evaluation of genotoxicity)

    Liu Yang, Nakatsu Yoshimichi, Tanaka Hiromasa, Koga Kazunori, Ishikawa Kenji, Shiratani Masaharu, Hori Masaru

    Genes and Environment   45   1 of 10 - 10 of 10   2023.1   ISSN:1880-7046

     More details

    Language:English   Publisher:日本環境変異原ゲノム学会  

    プラズマ活性化乳酸リンゲル液(PAL)の細胞障害性と遺伝毒性について検討した。pHが低く高濃度のH2O2を含有するPALから、カタラーゼを用いてH2O2を除去した。その結果、PALのpHは5.6以下から5.9に上昇し、これらのカタラーゼ処理したPALではHeLa細胞に対する殺細胞効果が保持されていたが、カタラーゼ処理したPALのpHを7.2に調整すると効果が消失した。だが、カタラーゼ処理したpH5.9のPALには、HeLa細胞における変異頻度やγH2AX発現およびG2期停止に対し有意な作用を観察されなかった。以上より、今回の実験結果から、PALはH2O2以外にもHeLa細胞に対し殺細胞効果をもたらす1種類以上の活性化合物や活性種が含有されていることが明らかにされた。また、これらの物質は低pH条件下で活性化し遺伝毒性は有さないことから、PAL中の活性物質を同定することにより、抗癌剤の新薬開発に繋がることが期待された。

  • Stress reduction of a-C:H films with inserting submonolayer of carbon nanoparticles

    Shiratani Masaharu, Ono Shinjiro, Eri Manato, Okumura Takamasa, Kamataki Kunihiro, Yamashita Naoto, Kiyama Haruki, Itagaki Naho, Koga Kazunori

    Abstract book of Annual Meeting of the Japan Society of Vacuum and Surface Science   2023 ( 0 )   1Ga06   2023   eISSN:24348589

     More details

    Language:English   Publisher:The Japan Society of Vacuum and Surface Science  

    <p>Amorphous carbon (a-C(:H)) thin films have been studied in a wide range of fields as protective films for automotive parts, hard masks for semiconductor device fabrication, and biocompatible films for medical devices due to their excellent characteristics. In particular, mechanical properties (film stress and fracture toughness) related to film delamination are important because they are related to the durability of the films, which in turn are related to film stress and adhesion strength. Recently, we have shown that the introduction of carbon nanoparticles (CNPs) between two layers of a-C:H thin films reduces film stress[1]. In this study, we evaluated other properties of the CNP-inserted sample and examined the effect of CNPs on the mechanical properties of the film toward the practical stage.</p><p>Sandwich structure films were fabricated using a capacitively coupled plasma-enhanced chemical vapor deposition (PECVD) system [1]. Ar and CH4 gases were introduced from the top at 19 sccm and 2.6 sccm, respectively. The thickness of the first and second layers was 154 nm. For the nanoindentation test, a nanoindentation tester (ENT-1100a) was employed and a Berkovich indenter was used.</p><p></p><p>The load-unloading curve by nano-indentation showed a typical curve at 5 mN, and a step in the curve occurred at over 8 mN, and SEM images of the indentation showed that the membrane peeled off in a circular shape when the step occurred. EDS analysis of the peel scar revealed that the peel occurred at the interface between the first and second layers. In addition, the fracture toughness of the film was determined from the SEM images of the delamination traces and the load-unloading curve at the time of step generation, and it decreased with increasing Cp in the region where the film stress was constant for the CNP coverage. These results suggest that CNP coverage has a negative correlation with fracture toughness and that there is an optimum value for improving mechanical properties. Other properties will be discussed in detail in the presentation.</p><p></p><p>[1] S.H. Hwang et al., Jpn. J. Appl. Phys. 59 100906, (2020).</p>

    DOI: 10.14886/jvss.2023.0_1ga06

    CiNii Research

  • Role of Direct Plasma Irradiation, Plasma-Activated Liquid, and Plasma-Treated Soil in Plasma Agriculture

    Attri P., Okumura T., Takeuchi N., Razzokov J., Zhang Q., Kamataki K., Shiratani M., Koga K.

    Plasma Medicine   13 ( 3 )   33 - 52   2023   ISSN:19475764

     More details

    Publisher:Plasma Medicine  

    Seed treatment with non-thermal plasma has seen a tremendous increase in both direct and indirect applications recently. In this review, we examined the effects of direct plasma irradiation, plasma-activated water (PAW), plasma-activated Ringer’s lactate solution, and plasma-treated soil on seeds, resulting in positive, negative, and neutral changes. Furthermore, we will compare the impact of pressure and feed gases on seed germination and seedling growth. Addition-ally, we focused on the types of reactive oxygen and nitrogen species (RONS) and their concentrations produced in the gas and liquid phases, as these play a crucial role in germination percentage and seedling growth. In conclusion, we find that plasma agriculture’s success is contingent on seed morphology, the types and concentrations of reactive species, and specific plasma characteristics.

    DOI: 10.1615/PlasmaMed.2023050454

    Scopus

  • Effects of amplitude modulated discharge on growth of nanoparticles in TEOS/O2/Ar capacitively coupled plasma

    Kamataki Kunihiro, Nagamatsu Daiki, Yang Tao, Abe Kohei, Yamamoto Akihiro, Nagao Iori, Arima Toshiaki, Otaka Michihiro, Yamamoto Yuma, Yamashita Daisuke, Okumura Takamasa, Yamashita Naoto, Itagaki Naho, Koga Kazunori, Shiratani Masaharu

    AIP Advances   12 ( 8 )   2022.8   eISSN:21583226

     More details

    Language:English   Publisher:AIP Publishing  

    CiNii Research

  • Cold plasma-induced stimulation of natural sweeteners biosynthesis in <i>Stevia rebaudiana</i> Bertoni

    Zukiene, R; Judickaite, A; Mildaziene, V; Koga, K; Shiratani, M

    FEBS OPEN BIO   12   301 - 301   2022.7   ISSN:2211-5463

     More details

  • A Plasma Enhanced CVD Technology for Solving Issues on Sidewall Deposition in Trenches and Holes

    Shiratani, M; Kamataki, K; Koga, K

    2022 17TH INTERNATIONAL MICROSYSTEMS, PACKAGING, ASSEMBLY AND CIRCUITS TECHNOLOGY CONFERENCE (IMPACT)   2022-October   2022   ISSN:2150-5934 ISBN:978-1-6654-5221-2

     More details

    Publisher:Proceedings of Technical Papers - International Microsystems, Packaging, Assembly, and Circuits Technology Conference, IMPACT  

    EUV lithography drives the miniaturization of semiconductors for higher integration, and semiconductor manufacturing is in transition from two-dimensional (2D) to three-dimensional (3D) structures [1], which plays a crucial role in supporting packaging for edge computing such as Internet-of-Things (loT). 3D power scaling enables higher integration without reducing the size of transistors by arranging them vertically instead of horizontally. One of the important processes in manufacturing 3D structured semiconductors is the formation of films on sidewalls of trenches and holes. Such films are often deposited by plasma enhanced chemical vapor deposition (PECVD) [2]. Due to the gas decomposition by plasma, PECVD method archives a high deposition rate of good quality films at low temperature, which is an advantage over other deposition methods such as atomic layer deposition (ALD) [3]. However, this does not fully meet the actual manufacturing requirements. For instance, SiO2 dielectric films deposited by PECVD usually have low coverage and poor film quality on sidewall of trenches and holes compared to films on surface. Ion impact is one of the most important factors contributing to improving step coverage and film quality in trenches and holes. One parameter that characterized ion impact is the ion energy distribution function (IEDF) and ion angular distribution (IADF) [4], [5]. There are strong needs for low temperature deposition in trenches and holes.

    DOI: 10.1109/IMPACT56280.2022.9966682

    Web of Science

    Scopus

  • Growth of single crystalline films on lattice-mismatched substrates through 3D to 2D mode transition Reviewed

    Naho Itagaki, Yuta Nakamura, Ryota Narishige, Keigo Takeda, Kunihiro Kamataki, Kazunori Koga, Masaru Hori, Masaharu Shiratani

    Scientific reports   10 ( 1 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Regarding crystalline film growth on large lattice-mismatched substrates, there are two primary modes by which thin films grow on a crystal surface or interface. They are Volmer-Weber (VW: island formation) mode and Stranski-Krastanov (SK: layer-plus-island) mode. Since both growth modes end up in the formation of three-dimensional (3D) islands, fabrication of single crystalline films on lattice-mismatched substrates has been challenging. Here, we demonstrate another growth mode, where a buffer layer consisting of 3D islands initially forms and a relaxed two-dimensional (2D) layer subsequently grows on the buffer layer. This 3D-2D mode transition has been realized using impurities. We observed the 3D-2D mode transition for the case of ZnO film growth on 18%-lattice-mismatched sapphire substrates. First, nano-sized 3D islands grow with the help of nitrogen impurities. Then, the islands coalesce to form a 2D layer after cessation of the nitrogen supply, whereupon an increase in the surface energy may provide a driving force for the coalescence. Finally, the films grow in 2D mode, forming atomically flat terraces. We believe that our findings will offer new opportunities for high-quality film growth of a wide variety of materials that have no lattice-matched substrates.

    DOI: 10.1038/s41598-020-61596-w

  • Influence of alkyl chain substitution of ammonium ionic liquids on the activity and stability of tobacco etch virus protease Reviewed

    Pankaj Attri, Sooho Choi, Minsup Kim, Masaharu Shiratani, Art E. Cho, Weontae Lee

    International Journal of Biological Macromolecules   155   439 - 446   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Ionic liquids (ILs) are known to provide stability to biomolecules. ILs are also widely used in the fields of chemical engineering, biological engineering, chemistry, and biochemistry because they facilitate enzyme catalyzed reactions and enhance their conversion rate. In this work, we have evaluated the influence of alkyl chain substitution of ammonium ILs such as diethylammonium dihydrogen phosphate (DEAP) and triethylammonium hydrogen phosphate (TEAP) for the stability and activity of the tobacco etch virus (TEV) protease. Further, we performed molecular dynamics (MD) simulations to calculate the RMSD (root mean square deviation) for TEV and TEV + ILs. Experimental and simulations results show that TEV is more stable in the presence of TEAP than DEAP. Whereas, TEV protease activity for the cleavage of fusion proteins is preserved in the presence of DEAP while lost in the presence of TEAP. Hence, DEAP IL can serve as alternative solvents for the stability of the TEV protease with preserved activity. To the best of our knowledge, this is first study to show that ILs can stabilize and maintain the TEV protease cleavage activity.

    DOI: 10.1016/j.ijbiomac.2020.03.175

  • Characteristics of crystalline sputtered LaFeO3 thin films as photoelectrochemical water splitting photocathodes Reviewed

    Min Kyu Son, Hyunwoong Seo, Motonori Watanabe, Masaharu Shiratani, Tatsumi Ishihara

    Nanoscale   12 ( 17 )   9653 - 9660   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Stable photoelectrochemical (PEC) operation is a critical issue for the commercialization of PEC water-splitting systems. Unfortunately, most semiconductor photocathodes generating hydrogen in these systems are unstable in aqueous solutions. This is a huge limitation for the development of durable PEC water-splitting systems. Lanthanum iron oxide (LaFeO3) is a promising p-type semiconductor to overcome this drawback because of its stability in an aqueous solution and its proper energy level for reducing water. In this study, we fabricated a crystalline LaFeO3 thin film by radio frequency magnetron sputtering deposition and a post-annealing process in air for use as a PEC photocathode. Based on the morphological, compositional, optical and electronic characterizations, we found that it was ideal for a visible light-responsive PEC photocathode and tandem PEC water-splitting system with a small band gap absorber behind it. Furthermore, it showed stable PEC performance in a strong alkaline solution during PEC operation without any protection layers. Therefore, the crystalline sputtered LaFeO3 thin film suggested in this study would be feasible to apply as a PEC photocathode for durable, simple and low-cost PEC water splitting.

    DOI: 10.1039/d0nr01762k

  • Relationship between cold plasma treatment-induced changes in radish seed germination and phytohormone balance Reviewed

    Laima Degutytė-Fomins, Giedre&dot Paužaitė, Žūkienė Rasa, Vida Mildažienė, Kazunori Koga, Masaharu Shiratani

    Japanese journal of applied physics   59   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    This study aimed to estimate the dependence of the effects of radish (Raphanus sativus) seed irradiation with atmospheric cold plasma (CP) on physiological seed condition or dormancy status. Seeds were treated for different durations with a scalable dielectric barrier discharge (DBD) device. The relationship between the effect of CP on germination kinetics with changes in the content of phytohormones in seeds stored for different periods of time after harvesting (1, 5, and 7 months) was estimated. CP treatment efficiently stimulated germination only in freshly harvested (not after-ripened seeds), and these changes correlated with a decrease in abscisic acid (ABA) and an increase in gibberellin (GA) content. CP treatment induced a fast decrease in ABA content and ABA/GA ratio in after-ripened seeds (5 months after harvesting) but did not stimulate germination. Primary evidence of direct ABA modification by the reactive compounds from the gaseous phase of CP was obtained.

    DOI: 10.7567/1347-4065/ab656c

  • Effects of surrounding gas on plasma-induced downward liquid flow Reviewed

    Toshiyuki Kawasaki, Keisuke Nishida, Giichiro Uchida, Fumiaki Mitsugi, Kosuke Takenaka, Kazunori Koga, Yuichi Setsuhara, Masaharu Shiratani

    Japanese Journal of Applied Physics   59 ( SH )   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Understanding the mechanisms behind plasma-induced liquid flow is important for the transport of reactive species in liquid. In this study, we studied the effects of the surrounding gas compositions of a plasma-jet on the plasma-induced downward liquid flow using particle image velocimetry. Nitrogen (N2) and oxygen (O2) mixtures in different mixing ratios were supplied as surrounding gas around a helium (He) plasma jet at a constant flow rate. The results clearly indicated that O2 in the surrounding gas plays a key role in enhancing the downward flow. Increasing the O2 concentration increased the downward flow in the depth direction. An emission spectroscopy analysis suggested that reactive species related to excited atomic O were considered to be important for inducing downward flows. The relationship between the downward flows and oxidation reactions on a liquid target were discussed to determine the reasons responsible for the driving forces.

    DOI: 10.35848/1347-4065/ab71dc

  • Influence of osmolytes and ionic liquids on the Bacteriorhodopsin structure in the absence and presence of oxidative stress A combined experimental and computational study Reviewed

    Pankaj Attri, Jamoliddin Razzokov, Maksudbek Yusupov, Kazunori Koga, Masaharu Shiratani, Annemie Bogaerts

    International Journal of Biological Macromolecules   148   657 - 665   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Understanding the folding and stability of membrane proteins is of great importance in protein science. Recently, osmolytes and ionic liquids (ILs) are increasingly being used as drug delivery systems in the biopharmaceutical industry. However, the stability of membrane proteins in the presence of osmolytes and ILs is not yet fully understood. Besides, the effect of oxidative stress on membrane proteins with osmolytes or ILs has not been investigated. Therefore, we studied the influence of osmolytes and ILs as co-solvents on the stability of a model membrane protein (i.e., Bacteriorhodopsin in purple membrane of Halobacterium salinarum), using UV–Vis spectroscopy and molecular dynamics (MD) simulations. The MD simulations allowed us to determine the flexibility and solvent accessible surface area (SASA) of Bacteriorhodopsin protein in the presence and/or absence of co-solvents, as well as to carry out principal component analysis (PCA) to identify the most important movements in this protein. In addition, by means of UV–Vis spectroscopy we studied the effect of oxidative stress generated by cold atmospheric plasma on the stability of Bacteriorhodopsin in the presence and/or absence of co-solvents. This study is important for a better understanding of the stability of proteins in the presence of oxidative stress.

    DOI: 10.1016/j.ijbiomac.2020.01.179

  • Effect of hydrogen dilution on the silicon cluster volume fraction of a hydrogenated amorphous silicon film prepared using plasma-enhanced chemical vapor deposition Reviewed

    Yeonwon Kim, Kazunori Koga, Masaharu Shiratani

    Current Applied Physics   20 ( 1 )   191 - 195   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We investigated the effect of hydrogen dilution on the Si cluster volume fraction of hydrogenated amorphous films by varying the hydrogen dilution ratio at 0.5 Torr and compared it to that obtained at pure silane discharge at 0.3, 0.4, and 0.5 Torr. The correlation between the plasma emission characteristic, deposition rate, and cluster volume fraction in the hydrogen dilution plasma was described. The cluster volume fractions of films under hydrogen dilution conditions were similar to those of the pure silane but showed a higher deposition rate. The results suggest that under hydrogen dilution conditions, it is possible to maintain a higher deposition rate with a lower cluster incorporation rate.

    DOI: 10.1016/j.cap.2019.11.001

  • Improved Nanoscale Al-Doped ZnO with a ZnO Buffer Layer Fabricated by Nitrogen-Mediated Crystallization for Flexible Optoelectronic Devices Reviewed

    Iping Suhariadi, Naho Itagaki, Masaharu Shiratani

    ACS Applied Nano Materials   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    To achieve excellent semiconductor device performance, especially for low-temperature processing of semiconductors, the need to devise strategies to engineer the surface and interface and to develop characterization techniques to understand the cause-effect relationship of surface and interface of semiconductor devices remains to be a key issue. Here, we present a nucleation control method, termed nitrogen-mediated crystallization (NMC), to engineer the surface morphology of a ZnO buffer layer and analyze first- and second-degree statistical surfaces to reveal the morphological relationship between the buffer layer and the buffered AZO film. The surface parameter is generally understood as the surface roughness (roughness average or RMS roughness) or the surface height profile, and our experimental results suggest that the physical properties of the buffered AZO films are strongly influenced by the fractal geometry of the buffer layers and are insensitive to their surface roughness. We demonstrate that the NMC method promotes enhanced surface migration and effectively prevents the development of nonuniform fractal geometry in the ZnO buffer layer, enabling the stress relaxation in the buffered AZO films and mitigating the three-dimensional columnar growth. At a low thermally induced kinetic energy, a 90 nm thick AZO film with an ultralow resistivity of 4.4 × 10-4 ω·cm can be achieved, indicating its potential for the realization of high-efficiency flexible optoelectronic devices.

    DOI: 10.1021/acsanm.9b02571

  • Dielectric barrier discharge plasma treatment-induced changes in sunflower seed germination, phytohormone balance, and seedling growth Reviewed

    Rasa Zukiene, Zita Nauciene, Irena Januskaitiene, Giedre Pauzaite, Vida Mildaziene, Kazunori Koga, Masaharu Shiratani

    Applied Physics Express   12 ( 12 )   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    The aim of this study was to estimate the effects of treatment with atmospheric cold plasma (CP) on sunflower (Helianthus annuus) seeds on germination kinetics, content of seed phytohormones, early seedling growth, and photosynthetic activity. Seeds were treated with a scalable dielectric barrier discharge device for different durations. Differences in CP-induced changes in the seed phytohormone content in cases of positive or negative effects on germination and/or seedling growth were detected. The eustress response was related to the increased ratio between gibberellin (GA) and abscisic acid (ABA) contents, and the distress response was related to decreased GA/ABA and increased salicylic acid content.

    DOI: 10.7567/1882-0786/ab5491

  • Impact of heterointerface properties of crystalline germanium heterojunction solar cells Reviewed

    Shinya Nakano, Masaharu Shiratani

    Thin Solid Films   685   225 - 233   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have previously reported that phosphine (PH3) surface treatment of a p-type crystalline germanium (c-Ge) substrate improves the c-Ge heterojunction solar cell performance. In this study, the effects of the heterointerface properties of the c-Ge heterojunction solar cell were investigated. We found that the deposition temperature of the heterojunction layer and O2 surface treatment before the PH3 surface treatment influence the interface phase structure and band structure. Consequently, a conversion efficiency of 7.61% with a high open-circuit voltage of 0.270 V was obtained.

    DOI: 10.1016/j.tsf.2019.06.028

  • ZnS/SiO2 passivation layer for high-performance of TiO2/CuInS2 quantum dot sensitized solar cells Reviewed

    Hee Je Kim, Jin Ho Bae, Hyunwoong Seo, Masaharu Shiratani, Chandu Venkata Veera Muralee Gopi

    Energies   11 ( 8 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Suppressing the charge recombination at the interface of photoanode/electrolyte is the crucial way to improve the quantum dot sensitized solar cells (QDSSCs) performance. In this scenario, ZnS/SiO2 blocking layer was deposited on TiO2/CuInS2 QDs to inhibit the charge recombination at photoanode/electrolyte interface. As a result, the TiO2/CuInS2/ZnS/SiO2 based QDSSCs delivers a power conversion efficiency (η) value of 4.63%, which is much higher than the TiO2/CuInS2 (2.15%) and TiO2/CuInS2/ZnS (3.23%) based QDSSCs. Impedance spectroscopy and open circuit voltage decay analyses indicate that ZnS/SiO2 passivation layer on TiO2/CuInS2 suppress the charge recombination at the interface of photoanode/electrolyte and enhance the electron lifetime.

    DOI: 10.3390/en11081931

  • Morphology Evolution of ZnO Thin Films Deposited by Nitrogen Mediated Crystallization Method Reviewed

    Iping Suhariadi, Masaharu Shiratani, Naho Itagaki

    2nd International Joint Conference on Advanced Engineering and Technology, IJCAET 2017 and International Symposium on Advanced Mechanical and Power Engineering, ISAMPE 2017 MATEC Web of Conferences   159   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We study the surface morphology of ZnO thin films deposited by nitrogen mediated crystallization method utilizing atomic force microscopy as a function of nitrogen flow rates. Initially, the surface morphology of ZnO thin film deposited without nitrogen exhibits a bumpy surface with spiky grains where the skewness and kurtosis values were found to be 0.48 and 4.80, respectively. By addition of small amount of nitrogen, the skewness and kurtosis values of the films significantly decrease associated with a flatter topography. Further increase in nitrogen flow rate to 16 sccm has roughened the surface shown mainly by the increase in kurtosis value to be 3.30. These results indicate that the addition of small amount of nitrogen during deposition process has enhanced the adatoms migration on the surface resulting in a superior film with a larger grain size. Two-dimensional power spectral density analysis reveals that all the films have self-affine fractal geometry with total fractal values in the range of 2.14 to above 3.00.

    DOI: 10.1051/matecconf/201815902031

  • Effects of gas velocity on deposition rate and amount of cluster incorporation into a-Si:H films fabricated by SiH4 plasma chemical vapor deposition Reviewed

    Takashi Kojima, Susumu Toko, Kazuma Tanaka, Hyunwoong Seo, Naho Itagaki, Kazunori Koga, Masaharu Shiratani

    Plasma and Fusion Research   13   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    To deposit stable a-Si:H films at a high deposition rate (DR), we have studied time evolution of DR and amount of cluster incorporation (R) into films as a parameter of gas velocity, in the downstream region of a multi-hollow discharge plasma chemical vapor deposition reactor; because a-Si:H films containing less cluster incorporation show high stability. For a low gas velocity of 0.18m/s, clusters are trapped between the multihollow electrode and the substrate and the trapped clusters absorb clusters and such absorption suppresses the cluster incorporation into films. By utilizing this phenomenon, we have realized a quite low R = 1.3 at a high DR = 0.06 nm/s.

    DOI: 10.1585/PFR.13.1406082

  • Future outlooks in plasma medical science

    Masao Ichinose, Masaharu Shiratani, Masaru Hori

    Plasma Medical Science   419 - 423   2018.1

     More details

    Language:English  

    Plasma medical research started late in Japan, but over the past 5 years has made truly remarkable progress, creating a flow of new research that leads the world. A foundation is steadily being built for the investigation of plasma’s effects on the body’s homeostatic mechanisms and therapeutic interventions in pathological conditions. As a result, we have reached the level of vigorous and detailed analyses of the effects of plasma on a diverse array of important life phenomena, including cell membrane transport, intracellular signaling systems, autophagy, apoptosis, and cell proliferation and differentiation. The results of these studies strongly suggest the possibility that plasma science will contribute not only to the resolution of major research questions in life science but also make unique contributions to the resolution of problems confronting modern clinical medicine, including wound healing, regenerative medicine, and cancer control. Among the series of findings that have been obtained in translational studies of plasma science and technology, one particularly worth noting is the concrete achievement of a practical plasma hemostasis device that allows less-invasive treatment. With a view toward medical applications, solid progress has also been made in investigations to improve the selectivity of plasma actions and control its biological effects, as well as investigations aimed at ensuring the safety of plasma medicine. From this series of research findings, one strongly feels not only the possibilities of plasma as a new option on the front lines of clinical medicine in the future, but also its development as a spectacular field of medical science that holds the potential to bring about far-reaching innovations.

    DOI: 10.1016/B978-0-12-815004-7.00008-1

  • Low temperature rapid formation of Au-induced crystalline Ge films using sputtering deposition Reviewed

    Sota Tanami, Daiki Ichida, Shinji Hashimoto, Hyunwoong Seo, Daisuke Yamashita, Naho Itagaki, Kazunori Koga, Masaharu Shiratani

    Thin Solid Films   641   59 - 64   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We report low temperature (100–170 °C) and rapid (10 min) formation of crystalline Ge films between Au catalyst film and quartz glass substrate using a radio frequency magnetron sputtering deposition. The formation rate of crystalline Ge films between Au catalyst film and quartz glass substrate is proportional to the deposition rate of Ge film, namely the flux of Ge atoms. To obtain insights on the formation mechanism of crystalline Ge films, we studied dependence of grain size of Au films on annealing temperature and Au film thickness. Crystalline Ge films formed below Au films have random crystalline orientation with in-plane grain size from below 1 μm. Small crystalline grain size of Au films is needed to form rapidly Au induced crystalline Ge films.

    DOI: 10.1016/j.tsf.2017.02.067

  • Extension of the operational regime of the LHD towards a deuterium experiment Reviewed

    Y. Takeiri, T. Morisaki, M. Osakabe, M. Yokoyama, S. Sakakibara, H. Takahashi, Y. Nakamura, T. Oishi, G. Motojima, S. Murakami, K. Ito, A. Ejiri, S. Imagawa, S. Inagaki, M. Isobe, S. Kubo, S. Masamune, T. Mito, I. Murakami, K. Nagaoka, K. Nagasaki, K. Nishimura, M. Sakamoto, R. Sakamoto, T. Shimozuma, K. Shinohara, H. Sugama, K. Y. Watanabe, J. W. Ahn, N. Akata, T. Akiyama, N. Ashikawa, J. Baldzuhn, T. Bando, E. Bernard, F. Castejón, H. Chikaraishi, M. Emoto, T. Evans, N. Ezumi, K. Fujii, H. Funaba, M. Goto, T. Goto, D. Gradic, Y. Gunsu, S. Hamaguchi, H. Hasegawa, Y. Hayashi, C. Hidalgo, T. Higashiguchi, Y. Hirooka, Y. Hishinuma, R. Horiuchi, K. Ichiguchi, K. Ida, T. Ido, H. Igami, K. Ikeda, S. Ishiguro, R. Ishizaki, A. Ishizawa, A. Ito, Y. Ito, A. Iwamoto, S. Kamio, K. Kamiya, O. Kaneko, R. Kanno, H. Kasahara, D. Kato, T. Kato, K. Kawahata, G. Kawamura, M. Kisaki, S. Kitajima, W. H. Ko, M. Kobayashi, S. Kobayashi, T. Kobayashi, K. Koga, A. Kohyama, R. Kumazawa, J. H. Lee, D. López-Bruna, R. Makino, S. Masuzaki, Y. Matsumoto, H. Matsuura, O. Mitarai, H. Miura, J. Miyazawa, N. Mizuguchi, C. Moon, S. Morita, T. Moritaka, K. Mukai, T. Muroga, S. Muto, T. Mutoh, T. Nagasaka, Y. Nagayama, N. Nakajima, Y. Nakamura, H. Nakanishi, H. Nakano, M. Nakata, Y. Narushima, D. Nishijima, A. Nishimura, S. Nishimura, T. Nishitani, M. Nishiura, Y. Nobuta, H. Noto, M. Nunami, T. Obana, K. Ogawa, S. Ohdachi, M. Ohno, N. Ohno, H. Ohtani, M. Okamoto, Y. Oya, T. Ozaki, B. J. Peterson, M. Preynas, S. Sagara, K. Saito, H. Sakaue, A. Sanpei, S. Satake, M. Sato, T. Saze, O. Schmitz, R. Seki, T. Seki, I. Sharov, A. Shimizu, M. Shiratani, M. Shoji, C. Skinner, R. Soga, T. Stange, C. Suzuki, Y. Suzuki, S. Takada, K. Takahata, A. Takayama, S. Takayama, Y. Takemura, Y. Takeuchi, H. Tamura, N. Tamura, H. Tanaka, K. Tanaka, M. Tanaka, T. Tanaka, Y. Tanaka, S. Toda, Y. Todo, K. Toi, M. Toida, M. Tokitani, T. Tokuzawa, H. Tsuchiya, T. Tsujimura, K. Tsumori, S. Usami, J. L. Velasco, H. Wang, T. H. Watanabe, T. Watanabe, J. Yagi, M. Yajima, H. Yamada, I. Yamada, O. Yamagishi, N. Yamaguchi, Y. Yamamoto, N. Yanagi, R. Yasuhara, E. Yatsuka, N. Yoshida, M. Yoshinuma, S. Yoshimura, Y. Yoshimura

    Nuclear Fusion   57 ( 10 )   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    As the finalization of a hydrogen experiment towards the deuterium phase, the exploration of the best performance of hydrogen plasma was intensively performed in the large helical device. High ion and electron temperatures, T i and T e, of more than 6 keV were simultaneously achieved by superimposing high-power electron cyclotron resonance heating onneutral beam injection (NBI) heated plasma. Although flattening of the ion temperature profile in the core region was observed during the discharges, one could avoid degradation by increasing the electron density. Another key parameter to present plasma performance is an averaged beta value . The high regime around 4% was extended to an order of magnitude lower than the earlier collisional regime. Impurity behaviour in hydrogen discharges with NBI heating was also classified with a wide range of edge plasma parameters. The existence of a no impurity accumulation regime, where the high performance plasma is maintained with high power heating >10 MW, was identified. Wide parameter scan experiments suggest that the toroidal rotation and the turbulence are the candidates for expelling impurities from the core region.

    DOI: 10.1088/1741-4326/aa7fc2

  • Influence of plasma irradiation on silkworm Reviewed

    Akira Yonesu, Kazunori Koga, Masaharu Shiratani, Nobuya Hayashi

    Plasma Medicine   7 ( 4 )   313 - 320   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Silkworms have recently been proposed as an animal model for safety testing in basic research. We propose using silkworms for in vivo trials of direct plasma treatment. In this study, the influence of plasma irradiation on silkworms was investigated using a non-thermal atmospheric pressure plasma. Silkworm survival rate decreased with increasing low-frequency voltage and plasma irradiation period. Further investigation of the plasma-generated agents (oxygen related radicals, UV light, and charged particles), revealed that the contribution of charged particles significantly increases silkworm mortality.

  • Inter-particle potential fluctuation of two fine particles suspended in Ar plasmas

    Masahiro Soejima, Kazunori Koga, Masaharu Shiratani

    16th IEEE International Conference on Nanotechnology - IEEE NANO 2016 16th International Conference on Nanotechnology - IEEE NANO 2016   671 - 673   2016.11

     More details

    Language:English   Publishing type:Research paper (other academic)  

    We have analyzed inter-particle potential during binary collision of two fine particles suspended at the plasma/sheath boundary. For this analysis, we have employed a tracking analysis which gives time evolution of the particle position automatically from the movie of particle behavior. The fluctuation of inter-particle potential is originated from a major component of the plasma potential fluctuation and minor one of the particle charge fluctuation. The tracking analysis of fine particles is a promising method to provide fluctuation of plasma potential with ultrahigh sensitivity at a local position.

    DOI: 10.1109/NANO.2016.7751376

  • Relationship between electric properties and surface flatness of (ZnO)x(InN)1-x films on ZnO templates

    Koichi Matsushima, Masaharu Shiratani, Naho Itagaki

    16th IEEE International Conference on Nanotechnology - IEEE NANO 2016 16th International Conference on Nanotechnology - IEEE NANO 2016   674 - 675   2016.11

     More details

    Language:English   Publishing type:Research paper (other academic)  

    We have studied effects of deposition temperature on electrical properties of (ZnO)x(InN)1-x (ZION) films on ZnO templates. With increasing the deposition temperature from RT to 450°C, the electron mobility decreases from 93 cm2/Vs to 70 cm2/Vs and the carrier density increases from 1.8×1019 cm-3 to 3.4×1019 cm-3. Furthermore, we found a correlation between electrical properties and root mean square (RMS) roughness of the films. These results suggest the surface flatness is an important parameter to determine electrical properties of ZION films.

    DOI: 10.1109/NANO.2016.7751421

▼display all

Books

  • Cellulose: A Smart Material for Water Purification (Smart Materials for Waste Water Applications)

    Bharti Arora, Eun Ha Choi, Masaharu Shiratani, and Pankaj Attri(Role:Joint author)

    Scrivener Publishing  2016.2 

     More details

    Language:English   Book type:Scholarly book

  • プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜 (特集 革新的プラズマ科学の新潮流)

    古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝(Role:Joint author)

    化学工業  2012.12 

     More details

    Responsible for pages:63,12,908-912   Language:Japanese   Book type:Scholarly book

    Low Temperature Deposition of Hard Carbon Thin Films Using Plasma Anisotropic Chemical Vapor Deposition Method

  • ダブルマルチホロー放電プラズマCVDによる窒化シリコンナノ粒子の生成と量子ドット増感型太陽電池への応用 (高効率太陽電池 化合物・集光型・量子ドット型・Si・有機系・その他新材料)

    内田儀一郎, 古閑一憲, 白谷正治(Role:Joint author)

    株式会社エヌ・ティー・エス  2012.5 

     More details

    Responsible for pages:第3章, 2   Language:Japanese   Book type:Scholarly book

  • プロセスプラズマ中の微粒子の凝集と輸送 (「プラズマと微粒子」研究の諸分野における進展)

    古閑一憲、内田儀一郎、白谷正治、布村正太、渡辺征夫(Role:Joint author)

    プラズマ・核融合学会誌  2011.2 

     More details

    Responsible for pages:プラズマ・核融合学会誌,87,2,99-104   Language:Japanese   Book type:Scholarly book

    Coagulation and Transport of Fine Particles in Processing Plasmas

  • 研究開発の効率を飛躍的に高めるコンビナトリアルプラズマ解析装置 (特集 プラズマ技術の新しい挑戦)

    白谷正治, 節原裕一, 関根誠, 堀勝(Role:Joint author)

    化学工業  2010.5 

     More details

    Responsible for pages:61,5,371-375   Language:Japanese   Book type:Scholarly book

    Combinatorial plasma process analyzer for highly efficient research and development

  • Nanoblock Assembly Using Pulse RF Discharges with Amplitude Modulation (Industrial Plasma Technology: Applications from Environmental to Energy Technologies)

    Shinya Iwashita, Hiroshi Miyata, Kazunori Koga, Masaharu Shiratani(Role:Joint author)

    WILEY-VCH Verlag GmbH & Co  2010.5 

     More details

    Responsible for pages:31, pp.377-383   Language:English   Book type:Scholarly book

  • Deposition of a-Si: H Films with High Stability against Light Exposure by Reducing Deposition of Nanoparticles Formed in SiH4 Discharges (Industrial Plasma Technology: Applications from Environmental to Energy Technologies)

    Kazunori Koga, Masaharu Shiratani, Yukio Watanabe(Role:Joint author)

    WILEY-VCH Verlag GmbH & Co  2010.5 

     More details

    Responsible for pages:20, pp.247-257   Language:English   Book type:Scholarly book

  • 光劣化しない革新的アモルファスシリコン太陽電池の作製をめざして (次世代シリコン太陽電池製造のためのプラズマ技術)

    白谷正治, 古閑一憲(Role:Joint author)

    プラズマ・核融合学会誌  2010.1 

     More details

    Responsible for pages:プラズマ・核融合学会誌,86,1,33-36   Language:Japanese   Book type:Scholarly book

    Towards Revolutionary Amorphous Silicon Solar Cells without Light-Induced Degradation

  • Ion Trajectory Control in Processing Plasmas for Nano-Fabrication

    Otomo H., Nagao I., Kamataki K., Shiratani M.

    Key Engineering Materials  2023    ISSN:10139826

     More details

    To realize ion trajectory control in processing plasmas for nano-fabrication, we applied amplitude modulation (AM) discharges to control of ion trajectory in high aspect trenches. We investigated behavior of incident ions in AR25 (aspect ratio = 25) trench structure in AM discharges using data of Ar+ ion with ion energy and ion angular distribution functions (IEDF and IADF) on the substrate obtained by the PIC-MCC model. AM discharges have higher ion flux onto the trench sidewalls than the continuous waveform (CW) discharges, whereas AM discharges have almost the same ion energy as CW ones. SRIM simulation results suggest that AM discharges can desorb more hydrogen atoms from TEOS-PECVD SiO2 films on the trench sidewall than CW ones, which explains the previous results of improved SiO2 film quality on trench sidewall by AM discharges.

    DOI: 10.4028/p-6v9abP

    Scopus

  • Conformal and Anisotropic Deposition of Cu in Trenches by using H-Assisted Plasma CVD Method

    Proc. International Symposium on Information Science and Electrical Engineering 2003 

     More details

▼display all

Presentations

  • 日本の大学から(招待講演) Invited

    白谷正治

    SPP-41  2024.1 

     More details

    Event date: 2024.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Tuning Properties of Plasma Deposition Films in Unconventional Ways(Plenary) Invited International conference

    M. Shiratani

    ICSE  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BPEX   Country:Korea, Republic of  

  • Quantitative Analysis of Plasma Irradiation Dose to Seeds (Invited) Invited International conference

    M. Shiratani

    AEPSE 2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BPEX   Country:Korea, Republic of  

  • An on-site plasma system for producing nitrogen fertilizers to increase crop yields in an environmentally friendly manner (Invited) Invited International conference

    M. Shiratani

    The 65th KVS Summer Annual Conference & IFFM 2023  2023.8 

     More details

    Event date: 2023.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Jeju Shinhwa World Hotel & Resorts   Country:Korea, Republic of  

  • Ion Trajectory Control in Processing Plasmas for Nano-Fabrication(keynote) Invited International conference

    M. Shiratani, H. Ohtomo, I. Nagao, K. Kamataki

    Thermec'2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ウィーン工科大学   Country:Austria  

  • Analysis of the Correlation between Seeds Dormancy and Plasma Irradiation Effects on Seeds(Invited) Invited International conference

    M. Shiratani

    IWOPA4  2023.6 

     More details

    Event date: 2023.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:KwangWoon University, Seoul, Korea   Country:Korea, Republic of  

  • Plasma Agriculture: An Emerging Field (Invited) Invited International conference

    M. Shiratani

    IWOPA4  2023.6 

     More details

    Event date: 2023.6

    Language:English   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:KwangWoon University, Seoul, Korea   Country:Korea, Republic of  

  • Expanding plasma technologies for sustainable world(Plenary) Invited International conference

    M. Shiratani

    E-MRS 2023 Spring Meeting  2023.7 

     More details

    Event date: 2023.5 - 2023.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:the Convention Centre in Strasbourg, France.   Country:France  

  • Tuning Mechanical Properties of Plasma CVD a-C:H films using Carbon Nanoparticles(Invited) Invited International conference

    M. Shiratani

    ICDDPS-4  2023.4 

     More details

    Event date: 2023.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:OIST   Country:Japan  

  • プラズマ成膜の革新を目指して (招待講演) Invited

    白谷正治

    Global Plasma Forum  2023.3 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 機械学習・量子アニーリングを活用したプラズマプロセスの解析と制御 (招待講演) Invited

    白谷正治, 鎌滝晋礼

    第70回応用物理学会 春季学術講演会  2023.3 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • Tuning Deposition Profiles and Stress of Plasma CVD Carbon Films (Award Commemorative Speech) Invited International conference

    M. Shiratani

    ISPlasma2023/IC-PLANTS2023  2023.3 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:岐阜大学   Country:Japan  

  • Si系プラズマCVD研究の現状と展望 (招待講演) Invited

    白谷正治

    学振153プラズマ材料科学委員会 第161回研究会  2023.1 

     More details

    Event date: 2023.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:TKP ガーデンシティ PREMIUM 京橋   Country:Japan  

  • Plasma deposition of nanostructure tuning films (Invited) Invited International conference

    白谷正治

    15th International Conference on Plasma Science and Applications  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:India  

  • Plasma Agriculture: An Emerging Field (Invited) Invited International conference

    M. Shiratani

    ICPP 2022  2022.11 

     More details

    Event date: 2022.11 - 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:HICO・慶州、韓国   Country:Japan  

  • Electric Field Vector Deduced from Balance of Forces Exerted on a Fine Particle Immersed in Discharge Plasma(Invited) Invited International conference

    M. Shiratani, T. Sato, K. Kamataki

    iPlasmaNano-XI 2022  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Seville, Andalusia   Country:Spain  

  • Identification of key plasma process parameters using support vector machine(Invited) Invited International conference

    M. shiratani

    Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Dusty Plasma: Scientific and Technological Impacts(Invited) Invited International conference

    M. Shiratani

    5th Asia-Pacific Conference on Plasma Physics  2021.9 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ農業の現在と将来(招待講演) Invited

    白谷正治

    名古屋大学プラズマ研究会60周年記念シンポジウム  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Novel methods for tuning film properties using nanostructures(Invited) Invited International conference

    M. Shiratani

    Plathinium 2021  2021.9 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:France  

  • Ambient radiant energy source for powering IoT devices(Invited) Invited International conference

    M. Shiratani, F. L. Chawarambwa, T. E. Putri, K. Koga, K. Kamataki, M. Son, H. Seo

    Thermec2021  2021.6 

     More details

    Event date: 2021.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Austria  

  • Sputtering Growth of Metal Oxynitride Semiconductors for Excitonic Devices(Invited) Invited International conference

    M. Shiratani

    EDTM2021  2021.4 

     More details

    Event date: 2021.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:China  

  • c-Si表面パッシベーションにおけるプラズマ誘起欠陥とバンド構造(招待講演) Invited

    白谷 正治

    第68回応用物理学会春季学術講演会  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマナノテクノロジーの学術変革(招待講演) Invited

    白谷正治

    応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Applications of Low Temperature Plasma to Agriculture in Preharvest Stage (Invited) Invited International conference

    M. Shiratani, P.Attri, T. Okumura, K. Koga

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • 低温低圧プラズマ触媒法による二酸化炭素の資源化(招待講演) Invited

    M. Shiratani

    第1回低温プラズマ科学研究センター(cLPS)公開シンポジウム  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Materials processing with low pressure plasma:present issues and possible solutions (Invited) Invited International conference

    白谷正治

    SPIG2020  2020.8 

     More details

    Event date: 2020.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • ESR Measurements of Plasma Irradiated Seed (Invited) Invited International conference

    白谷正治

    the 1st international symposium on applied plasma science and engineering for agro and bio applications  2020.1 

     More details

    Event date: 2020.1 - 2020.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bangkok   Country:Thailand  

  • Methane production for energy storage using low temperature plasma (Invited) Invited International conference

    M. Shiratani, M. Ideguchi, A. Yamamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020.1 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • プラズマ照射した種籾の圃場栽培試験

    古閑一憲, 佐藤僚哉, 吉田知晃, 有田涼, 田中颯, 廣松真弥, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第36回プラズマ・核融合学会年会  2019.12 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Field Test of Cultivation of Plasma Irradiated Rice Seeds

  • Metabolomics Approach for Studying Effects of Atmospheric Air Plasma Irradiation to Seeds (Keynote) Invited International conference

    K. Koga, M. Shiratani, V. Mildaziene

    29th Annual Meeting of MRS-J  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Impact of Atmospheric Pressure Plasma Irradiation to Seeds on Agricultural Productivity International conference

    K. Koga, M. Shiratani

    3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019)  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Crowne Plaza Hefei, Hefei   Country:China  

  • Rate Limiting Factors of Low Pressure Plasma-catalytic CO2 Methanation Process International conference

    K. Koga, A. Yamamoto, K. Kamataki, N. Itagaki, M. Shiratani

    AVS 66th International Symposium & Exhibition  2019.10 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Greater Columbus Convention Center, Columbus, Ohio  

  • Non-equilibrium nanoparticle composite film process using reactive plasmas (Invited) Invited International conference

    K. Koga, M. Shiratani

    Advanced Metallization Conference 2019: 29th Asian Session (ADMETA Plus 2019)  2019.10 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Tokyo   Country:Japan  

  • Ar+CH4プラズマCVDを用いて堆積した水素化アモルファスカーボン薄膜の堆積特性に対する電極基板間距離依存性

    古閑一憲, Sung Hwa Hwang, 鎌滝晋礼, 板垣奈穂, 白谷正治

    2019年度(第72回)電気・情報関係学会九州支部連合大会  2019.9 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州工業大学   Country:Japan  

  • Plasmas - from Laboratory to Table - (Invited) Invited International conference

    K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019.9 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison Glad Hotel, Jeju Island   Country:Korea, Republic of  

  • Synthesis and deposition of a-C:H nanoparticles using reactive plasmas with a fast gas flow International conference

    K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, M. Shiratani

    The Korea-Japan Workshop on Dust Particles in Plasmas  2019.8 

     More details

    Event date: 2019.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NFRI, Daejeon   Country:Korea, Republic of  

  • Sputter deposition of wide bandgap (ZnO)x(AlN)1-x alloys: a new material system with tunable bandgap International conference

    S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Growth Mechanism of Carbon Nanoparticles In Multi-Hollow Discharge Plasma Chemical Vapor Deposition (Invited) Invited International conference

    K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • マルチホロー放電プラズマCVD法による高品質SiN膜の低温(100度)形成

    永石翔大, 佐々木勇輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019)  2019.6 

     More details

    Event date: 2019.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • Deposition of Carbon Nanoparticles Using Multi-Hollow Discharge Plasma CVD for Synthesis of Carbon Nanoparticle Composite Films International conference

    K. Koga, S. H. Hwang, T. Nakatani, J. S. Oh, K. Kamataki, N. Itagaki, M.Shiratani

    46th International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2019)  2019.5 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Diego, CA  

  • 微粒子プラズマにおける2体衝突運動の顕微高速観察

    古閑一憲, 大友洋, 真銅雅子, 鎌滝晋礼, 板垣奈穂, 白谷正治

    日本物理学会第74回年次大会(2019年)  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Spatial Profile of RONS Dose Supplied by a Scalable DBD Device International conference

    K. Koga, Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, T. Kawasaki

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • カイワレ大根種皮の色素に対するプラズマ照射の効果

    古閑一憲, 嶋田凌太郎, 和田陽介, 佐藤僚哉, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, Vida Mildaziene

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Innovative Agricultural Productivity Improvement Using Atmospheric Pressure Plasmas (Invited) Invited International conference

    K. Koga, M. Shiratani

    2018 MRS Fall Meeting & Exhibit  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, Massachusetts  

  • Evaluation of Amount of RONS Transport and Absorption of Seeds International conference

    K. Koga, Y. Wada, R. Sato, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani

    2018 MRS Fall Meeting & Exhibit  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, Massachusetts  

  • 反応性プラズマとナノ粒子相互作用ゆらぎネットワーク解析

    古閑一憲

    第34回九州・山口プラズマ研究会  2018.11 

     More details

    Event date: 2018.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:シーサイドホテル屋久島, 鹿児島   Country:Japan  

  • Synthesis of Hydrogenated Amorphous Carbon Nanoparticles using High-Pressure CH4+Ar Plasmas and Their Deposition International conference

    K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, T. Nakatani, M. Shiratani

    AVS 65th International Symposium & Exhibition  2018.10 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Long Beach Convention Center, Long Beach, CA  

  • プラズマ中二体微粒子の衝突解析による相互作用揺らぎの研究

    古閑一憲, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治

    2018年第79回応用物理学会秋季学術講演会  2018.9 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

    Study on interaction fluctuation by collision analysis of two fine particles in plasmas

  • Siネットワーク秩序性に対する製膜前駆体の効果

    古閑一憲, 田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治

    2018年第79回応用物理学会秋季学術講演会  2018.9 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

    Effects of deposition precursors on Si network orderliness

  • Effects of cluster deposition on spatial profile of Si-Hx bond density in a-Si:H films International conference

    K. Koga, K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani

    2018 International Conference on Solid State Devices and Materials (SSDM2018)  2018.9 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Tokyo   Country:Japan  

  • Challenge to precise control of chemical bond configuration in plasma CVD films International conference

    K. Koga, M. Shiratani

    RUB Japan Science Days 2018  2018.7 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bochum   Country:Germany  

  • Control of synthesis and deposition of nanoparticles using a multi-hollow discharge plasma CVD International conference

    K. Koga, M. Shiratani

    Workshop "Plasma surface interaction for technological applications"  2018.6 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Kiel   Country:Germany  

  • High energy leverage method on growth enhancement of bio-mass plants using plasma seed treatment International conference

    K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    7th International Conference on Plasma Medicine (ICPM-7)  2018.6 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Philadelphia  

  • A deep insight of plasma-nanoparticle interaction Invited International conference

    K. Koga, K. Kamataki, N. Itagaki, M. Shiratani

    19th International Congress on Plasma Physics  2018.6 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Vancouver   Country:Canada  

  • 水素原子源付プラズマCVD法に任意電圧波形を併用したa-C:H薄膜の堆積

    古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    第65回応用物理学会春季学術講演会  2018.3 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    Deposition of a-C:H films using H-assisted plasma CVD method together with tailored voltage waveforms

  • 大気圧空気誘電体バリア放電プラズマを照射したカイワレ種子の電子スピン共鳴分光

    古閑一憲, 和田陽介, 佐藤僚哉, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    第65回応用物理学会春季学術講演会  2018.3 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    Electron spin resonance spectroscopy of radish sprout seeds irradiated using atmospheric air dielectric barrier discharge plasmas

  • 任意電圧波形を用いたC7H8+Ar+H2プラズマ生成

    古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    平成30年電気学会全国大会  2018.3 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Effects of RONS Dose on Plasma Induced Growth Enhancement of Radish Sprout International conference

    K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    2nd International Workshop On Plasma Agriculture (IWOPA2)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Civic Cultural Hall, Gifu   Country:Japan  

  • Plasma Enhanced Carbon Recycling for Large-Scale Introduction of Solar Cells to Energy Supply Chain Invited International conference

    K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Towards ultra-high capacity batteries International conference

    K. Koga, G. Uchida, M. Shiratani

    Joint workshop btw SKKU and Kyushu University Emerging materials and devices  2018.1 

     More details

    Event date: 2018.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyushu University   Country:Japan  

  • A new insight into nanoparticle-plasma interactions (Invited) Invited International conference

    K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani

    JP-KO dust workshop  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:HanYang University, Seoul   Country:Korea, Republic of  

  • Evaluation of coupling among interaction fluctuations in nanoparticle growth in reactive plasmas International conference

    K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Deposition of High Quality Silicon Thin Films Utilizing Nanoparticles Trapped in Plasmas International conference

    K. Koga, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    27th annual meeting of MRS-J  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of Number Density of Seeds on Plasma Induced Plant Growth Enhancement International conference

    K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    27th annual meeting of MRS-J  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of Gas Flow Velocity on Plant Growth of Radish Sprout International conference

    K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • 植物種子へのプラズマ照射効果による成長促進とその機序(シンポジウム講演) Invited

    古閑一憲, 白谷正治

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • Development of a fine particle transport analyzer for processing plasmas International conference

    K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 39th International Symposium on Dry Process (DPS 2017)  2017.11 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo Institute of Technology   Country:Japan  

  • 反応性プラズマを用いた物質機能の初期階層形成

    古閑一憲

    第33回九州・山口プラズマ研究会  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎にっしょうかん   Country:Japan  

  • Surface-driven CH4 generation from CO2 in Low-pressure Non-thermal Plasma International conference

    K. Koga, S. Toko, S. Tanida, M. Shiratani

    American Vacuum Society 64th International Symposium and Exhibition (AVS64)  2017.10 

     More details

    Event date: 2017.10 - 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tampa, Florida  

  • 火星上CO2のCH4資源化のための低温低圧プラズマ触媒プロセス

    古閑一憲, 都甲将, 谷田知史, 白谷正治, 細田聡史, 星野健

    第61回宇宙科学技術連合講演会  2017.10 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ, 新潟   Country:Japan  

  • スケーラブルDBDプラズマのRONS照射量に対する空気流れの効果

    古閑一憲, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    平成29年度(第70回)電気・情報関係学会九州支部連合大会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:琉球大学   Country:Japan  

  • Hysteresis in Plasma CVD: a new path for high quality film deposition Invited International conference

    K. Koga, S. Toko, M. Shiratani

    11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017)  2017.9 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:International Convention Center Jeju   Country:Korea, Republic of  

  • プラズマ照射した種籾への催芽処理の効果

    古閑一憲, 和田陽介, 徐鉉雄, 板垣奈穂, 白谷正治, 橋本昌隆, 小島昌治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • Synthesis of Nanoparticles Using Low Temperature Plasmas and Its Application to Solar Cells and Tracers in Living Body (Invited) Invited International conference

    K. Koga, H. Seo, A. Tanaka, N. Itagaki, M. Shiratani

    231st Meeting of Electrochemical Society (ECS)  2017.5 

     More details

    Event date: 2017.5 - 2017.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hilton New Orleans Riverside, New Orleans, Louisiana  

  • 低温プラズマによるナノ粒子の合成と太陽電池への応用

    古閑一憲, 徐鉉雄, 板垣奈穂, 白谷正治

    電子情報通信学会有機エレクトロニクス研究会  2017.4 

     More details

    Event date: 2017.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:龍郷町生涯学習センター(鹿児島県奄美大島)   Country:Japan  

  • Corrational study of fluctuation of coupling between plasmas and nanoparticles International conference

    K. Koga, K. Mori, H. Seo, N. Itagaki, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017.3 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Chubu University   Country:Japan  

  • Long-term evaluation of In nanoparticle transport in living body International conference

    K. Koga, A. Tanaka, M. Hirata, T. Amano, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017.3 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Chubu University   Country:Japan  

  • Comparision of Gamma irradation and scalable DBD on the declorization of Dyes International conference

    K. Koga, P. Attri, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017.3 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Chubu University   Country:Japan  

  • 九州大学における反応性プラズマ精密制御CVD法の紹介(招待講演) Invited

    古閑一憲

    第1回産学共同研究検討会  2017.1 

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Time evolution of cross-correlation between two fluctuations of couplings between plasmas and nanoparticles in amplitude modulated discharges International conference

    K. Koga, K. Mori, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Dependence of amount of plasma activated water on growth enhancement of radish sprout International conference

    K. Koga, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Comparative study on death of cells irradiated by non-thermal plasma, X-ray, and UV International conference

    K. Koga, T. Amano, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, A. Tanaka, Y. Nakatsu, T. Kondo

    The 1st Asian Applied Physics Conference (Asian-APC)  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsushima, Nagasaki   Country:Japan  

  • 小型ダスト飛跡分析装置を用いたミラー上ダスト堆積抑制の検討

    古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男

    第33回プラズマ・核融合学会年会  2016.12 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

    Study on suppression of dust deposition on mirror using a compact dust trajectory analyzer

  • プラズマCVDを用いた高効率低劣化Si薄膜太陽電池の作製(招待講演) Invited

    古閑一憲

    第33回プラズマ・核融合学会年会  2016.11 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

    Fabrication of a-Si Thin Film Solar Cells with High Efficiency and Low Light Induced Degradation using Plasma CVD

  • プラズマとナノ粒子の相互作用ゆらぎの2次元空間構造の時間発展

    古閑一憲, 森研人, 徐鉉雄, 板垣奈穂, 白谷正治

    第33回プラズマ・核融合学会年会  2016.11 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

    Temporal development of two dimensional structure of fluctuation of interaction between plasmas and nanoparticles

  • プラズマ計測・診断 -反応性プラズマ中微粒子を中心として- (招待講演) Invited

    古閑一憲

    第27回プラズマエレクトロニクス講習会  2016.11 

     More details

    Event date: 2016.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京大学   Country:Japan  

  • Control of Plant Growth by RONS Produced Using Nonthermal Atmospheric Air Plasma International conference

    K. Koga, T. Sarinont, M. Shiratani

    American Vacuum Society 63rd International Symposium and Exhibition (AVS63)  2016.11 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nashville, Tennessee  

  • Comparative study of non-thermal atmospheric pressure discharge plasmas for life science applications International conference

    K. Koga, R. Katayama, T. Sarinont, H. Seo, N. Itagaki, P. Attri, E. L. Quiros, .A. Tanaka, M. Shiratani

    69th Annual Gaseous Electronics Conference (GEC2016)  2016.10 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ruhr-Universität Bochum   Country:Germany  

  • 火星上でのロケット燃料生成を目的とした低温低圧放電プラズマによるサバティエ反応

    古閑一憲, 都甲将, 白谷正治

    第60回宇宙科学技術連合講演会  2016.9 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:函館アリーナ   Country:Japan  

  • Time development of response of cells irradiated by non-thermal atmospheric air plasma International conference

    K. Koga, T. Amano, Y. Nakatsu, H. Seo, N. Itagaki, A. Tanaka, T. Kondo, M. Shiratani

    6th International Conference on Plasma Medicine (ICPM6)  2016.9 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Slovak University of Technology  

  • Nitrite concentration of plants grown from seeds irradiated by air dielectric barrier discharge plasmas International conference

    K. Koga, T. Sarinont, P. Attri, M. Shiratani

    20th International Vacuum Congress (IVC-20)  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan Exhibition Convention Center   Country:Korea, Republic of  

  • QCMを用いたLHD内ダスト堆積量のその場測定

    古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ

    第11回核融合エネルギー連合講演会  2016.7 

     More details

    Event date: 2016.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマ中微粒子を用いたプラズマポテンシャルゆらぎの評価

    古閑一憲, 添島雅大, 伊藤鉄平, 徐鉉雄, 板垣奈穂, 白谷正治

    日本物理学会第71回年次大会  2016.3 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北学院大学   Country:Japan  

  • 「プラズマ材料科学の未来を語る」(低圧非平衡プラズマプロセス) (招待講演) Invited

    古閑一憲

    第125回研究会 APSPT9-SPSM28サテライトミーティング『プラズマ材料科学の未来を語る』  2016.2 

     More details

    Event date: 2016.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:JR博多シティ会議室   Country:Japan  

  • 振幅変調反応性高周波放電中のナノ粒子量のバイスペクトル解析

    古閑一憲

    応用力学研究所共同研究報告会  2016.2 

     More details

    Event date: 2016.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学応用力学研究所   Country:Japan  

  • 大気圧非平衡プラズマの基礎 (招待講演) Invited

    古閑一憲

    プラズマ・核融合学会第28回専門講習会「プラズマ医療の現状と展望」  2016.1 

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Potential fluctuation evaluation using binary collision of fine particles suspended in plasmas (Invited) Invited

    K. Koga and M. Shiratani

    第16回微粒子プラズマ研究会  2015.12 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • 液中プラズマを用いたAuとPtナノ粒子の簡易作製法

    古閑一憲, 天野孝昭, Thapanut Sarinont, 徐鉉雄, 板垣奈穂, 白谷正治, 中津可道, 平田美由紀, 田中昭代

    平成27年度応用物理学会九州支部学術講演会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:琉球大学   Country:Japan  

  • プラズマ中のクーロン衝突微粒子間引力

    古閑一憲,添島雅大,伊東鉄平,山下大輔,徐鉉雄,板垣奈穂,白谷正治,野口将之,内田誠一

    第32回プラズマ・核融合学会 年会  2015.11 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 新しいプラズマプロセス技術を用いた薄膜堆積

    古閑一憲, 田浪荘汰, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    接合科学共同利用・共同研究拠点 大阪大学接合科学研究所 平成27年度 共同研究成果発表会  2015.11 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学接合科学研究所   Country:Japan  

  • Effects of Ion Energy on Chemical Bond Configuration in a-C:H Deposited using Ar + H2+ C7H8 Plasma CVD International conference

    K. Koga, X. Dong, K. Yamaki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka ,Y. Setsuhara

    37th International Symposium on Dry Process (DPS2015)  2015.11 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Awaji Yumebutai International Conference Center   Country:Japan  

  • Interactions between spin trapping reagents and non-thermal air DBD plasmas International conference

    K. Koga, T. Amano, T. Sarinont, T. Kondo, S. Kitazaki, Y. Nakatsu, A. Tanaka, M. Shiratani

    37th International Symposium on Dry Process (DPS2015)  2015.11 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Awaji Yumebutai International Conference Center   Country:Japan  

  • プラズマ照射に対する生体応答の研究

    古閑一憲

    第31回 九州・山口プラズマ研究会  2015.11 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:COCOLAND SPORTS&RESORT, 山口   Country:Japan  

  • Improving of Harvest Period and Crop Yield of Arabidopsis Thaliana L. using Nonthermal Atmospheric Air Plasma International conference

    K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shiratani

    American Vacuum Society 62nd International Symposium and Exhibition (AVS)  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:SanJose, California  

  • Two Dimentional Visualization of Oxidation Effect of Scalable DBD Plasma Irradiation using KI-starch Solution International conference

    K. Koga, T. Amano, T. Sarinont, T. Kawasaki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Y. Nakatsu, A. Tanaka

    American Vacuum Society 62nd International Symposium and Exhibition (AVS)  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:SanJose, California  

  • Simple Evaluation Method of Atmospheric Plasma Irradiation Dose using pH of Water International conference

    K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, Y. Nakatsu, A. Tanaka, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • In vivo kinetics of nanoparticles synthesized by plasma in water (Invited) Invited International conference

    K. Koga, T. Amano, M. Hirata, A. Tanaka, M. Shiratani

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yangyang   Country:Korea, Republic of  

  • In-situ laser Raman spectroscopy of an optically trapped fine particle International conference

    K. Koga, M. Soejima, K. Tomita, T. Ito, H. Seo, N. Itagaki, M. Shiratani

    17th International Symposium on Laser-Aided Plasma Diagnostics (LAPD17)  2015.9 

     More details

    Event date: 2015.9 - 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Chateraise Gateaux Kingdom Sapporo   Country:Japan  

  • Control Of Nanoprticle Transport And Their Deposition For Porous Low-k Films By Using Plasma Pertubation (Invited) Invited International conference

    K. Koga and M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • アルゴンプラズマ中微粒子運動の画像解析によるプラズマパラメータ評価

    古閑一憲, 添島雅大, 徐鉉雄, 板垣奈穂, 白谷正治, 内田誠一

    日本物理学会 2015年秋季大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:関西大学   Country:Japan  

  • 反応性プラズマ中ナノ粒子とラジカルの非線形結合成分の時空間解析

    古閑一憲, 伊東鉄平, 徐鉉雄, 板垣奈穂, 白谷正治

    日本物理学会 2015年秋季大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:関西大学   Country:Japan  

  • 大気圧非平衡プラズマ照射による液中ラジカル生成の相関解析(招待講演) Invited

    古閑一憲

    新学術領域研究 プラズマ・ナノマテリアル動態学の創成と安全安心医療科学の構築 第21回医工連携ゼミ  2015.4 

     More details

    Event date: 2015.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 非平衡プラズマスパッタリングによる高速低温層交換結晶成長

    古閑一憲, 市田大樹, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    日本物理学会第70回年次大会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • スパッタを用いた低温高速層交換Ge結晶成長に対する基板温度の効果

    古閑一憲, 市田大樹, 橋本慎史, 徐鉉雄, 山下大輔, 板垣奈穂, 白谷正治

    第62回応用物理学会春季学術講演会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • ラットに皮下投与したInナノ粒子の体内輸送

    古閑一憲, 天野孝昭, 平田美由紀, 田中昭代, 白谷正治

    第62回応用物理学会春季学術講演会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • 水素化アモルファスシリコン薄膜中Si-H2結合生成に対するクラスタ混入とラジカル表面反応の寄与

    古閑一憲, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 白谷 正治

    第62回応用物理学会春季学術講演会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • プロセシングプラズマを用いたIV族半導体ナノ粒子の作製と太陽電池への応用(招待講演) Invited

    古閑一憲, 内田儀一郎, 徐鉉雄, 白谷正治

    平成26年度 東北大学電気通信研究所共同プロジェクト研究会「プラズマナノバイオ・医療の基礎研究」  2015.2 

     More details

    Event date: 2015.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • Temporal development of nonlinear coupling between radicals and nanoparticles in reactive plasmas (Invited) Invited International conference

    K. Koga, T. Ito, H. Seo, N. Itagaki, and M. Shiratani

    The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Raj, Cerkljena Gorenjskem   Country:Slovenia  

  • Cluster suppressed deposition of a-Si:H films by employing non-linear phenomena in reactive plasmas (Invited) Invited International conference

    K. Koga, S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:TKP HAKATAEKIMAE Meeting Room, Fukuoka   Country:Japan  

  • 大気圧空気プラズマを照射したカイコの成長

    古閑一憲, サリノントタパナット, 天野孝昭, 白谷正治

    第24回日本MRS年次大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Growth of Silkworms Irradiated by Atmospheric Pressure Air Plasmas

  • プラズマ技術の生体・環境分野への応用研究

    古閑一憲

    九州大学テクノロジーフォーラム2014  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京国際フォーラム   Country:Japan  

  • プラズマ照射によるシロイヌナズナの植物成長促進の世代間伝搬

    古閑一憲, サリノントタパナット, 北﨑訓, 林信哉, 白谷正治

    第30回 九州・山口プラズマ研究会  2014.11 

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ラグナガーデンホテル、沖縄   Country:Japan  

    Inter generation transport of Plasma Growth Enhancement to Arabidopsis Thaliana

  • Analysis of coupling between nanoparticles and radicals using perturbation of radical density in reactive plasmas International conference

    K. Koga, T. Ito, H. Seo, N. Itagaki, M. Shiratani

    Plasma Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Toki Messe, Niigata   Country:Japan  

    Analysis of coupling between nanoparticles and radicals using pertubataion of radical density in reactive plasmas

  • Effects of amplitude modulated VHF discharge on coupling between plasmas and nanoparticles International conference

    K. Koga, T. Ito, K. Kamataki, H. Seo, N. Itagaki, and M. Shiratani

    24th International Toki Conference  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ceratopia Toki, Toki-city, Gifu   Country:Japan  

  • Spatiotemporal Analysis of Nanoparticle Growth in Amplitude Modulated Reactive Plasmas for Understanding Interactions between Plasmas and Nanomaterials (Invited) Invited International conference

    K. Koga, Y. Morita, T. Ito, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • 太陽電池開発の最前線

    古閑一憲

    2014年度先端サマーセミナー(第6回研究活動交流会)  2014.8 

     More details

    Event date: 2014.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Quartz crystal microbalance measurements for in-situ evaluation of dust inventory in fusion devices International conference

    K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    26th Symposium on Plasma Physics and Technology  2014.6 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Czech Technical University, Prague  

  • Real time mass measurement of dust particles deposited on vessel wall using quartz crystal microbalances in a divertor simulator International conference

    K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    21th International Conference on Plasma Surface Interactions (PSI2014)  2014.5 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ishikawa Ongakudo, Kanazawa   Country:Japan  

  • Effects of non-thermal air plasma irradiation to plant seeds on glucose concentration of plants International conference

    K. Koga, T. Sarinont, T. Amano, and M. Shiratani

    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)  2014.5 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nara Prefectural New Public Hall, Nara   Country:Japan  

  • コンビナトリアル細胞活性解析を用いた細胞超活性プラズマの創成 (招待講演) Invited

    古閑一憲

    第8回レーザー学会「レーザーバイオ医療」技術専門委員会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:沖縄科学技術大学院大学   Country:Japan  

  • プラズマプロセス技術の最近の応用展開 (招待講演) Invited

    古閑一憲

    プラズマ・核融合学会九州・沖縄・山口支部 平成25年度第3回特別講演会  2014.2 

     More details

    Event date: 2014.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐世保工業高等専門学校   Country:Japan  

  • Coupling between radicals in plasmas and nanoparticle growth in initial growth phase in reactive plasmas with amplitude modulation International conference

    K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Fabrication of highly stable a-Si:H solar cells by suppressing cluster incorporation (Invited) Invited International conference

    K. Koga, Y. Hashimoto, S. Toko, D. Yamashita, Y. Torigoe, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Multi-generation evaluation of plasma growth enhancement to arabidopsis thaliana (Invited) Invited International conference

    K. Koga, T. Sarinont, S. Kitazaki, N. Hayashi, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited) Invited International conference

    K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • プラズマ技術およびその応用可能性について (招待講演) Invited

    古閑一憲

    平成25年度次世代テクノロジーセミナー  2014.1 

     More details

    Event date: 2014.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:リファレンス駅東ビル, 福岡   Country:Japan  

  • Correlation between Plasma Fluctuation and Nanoparticle Amount in Initial Growth Phase in Reactive Plasmas with Amplitude Modulation

    K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani

    14th Workshop on Fine Particle Plasmas  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute for Fusion Science, Toki   Country:Japan  

  • Quantum dot sensitized solar cells using group IV semiconductor nanoparticles (Invited) Invited International conference

    K. Koga, G. Uchida, D. Ichida, S. Hashimoto, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani

    2013 EMN Fall Meeting  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Orland, Florida  

  • ナノ粒子成長に対するプラズマ摂動周波数の効果

    古閑一憲, 森田康彦, 岩下伸也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 第30回年会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Deposition of Ge Nanoparticle Films and Their Application to Ge Quantum-dot Sensitized Solar Cells International conference

    K. Koga, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani

    The 23rd International Photovoltaic Science and Engineering Conference  2013.11 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei International Convention Center   Country:Taiwan, Province of China  

  • Carbon Nanostructure formed by high pressure methane plasmas

    K. Koga, S. Iwashita, G. Uchida, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, U. Czarnetzki

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Comparative Acute Pulmonary Toxicity of Different Types of Indium-Tin Oxide Following Intermittent Intratracheal Instillation to the Lung of Rats International conference

    K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki and G. Uchida

    2013 JSAP-MRS Joint Symposia  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Doshisha University   Country:Japan  

  • クラスタ抑制法を用いた高光安定アモルファスシリコンPIN太陽電池の作製

    古閑一憲, 橋本優史, 金淵元, 都甲将, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治

    プラズマ研究会  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Fabrication of highly stable a-Si:H PIN solar cells using cluster suppression method

  • Formation of self-organized nanostructures using high pressure CH4+Ar plasmas International conference

    K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, M. Shiratani and U. Czarnetzki

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • High Pressure Nonthermal Methane Plasmas for Nanoparticle Production International conference

    K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, U. Czarnetzki

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • 振幅変調放電プラズマ中のナノ粒子成長初期におけるナノ粒子量の時空間分布

    古閑一憲, 森田康彦, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治

    2013年第60回応用物理学会春季学術講演会  2013.3 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • Characteristics of high pressure Ar+CH4 nanosecond discharge plasmas for producing nanoparticles International conference

    K. Koga, D. Yamashita, G. Uchida, M. Shiratani, U. Czarnetzki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Single particle trapping in plasmas using laser for studying interaction between a fine particle and palsams International conference

    K. Koga, D. Yamashita, G. Uchida, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Time and space profiles of laser-light scattering intensity from nano-particles and optical emission intensity in amplitude modurated high frequency discharge plasmas International conference

    K. Koga

    The International LIGLR Workshop on Plasma Science & Technology  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • プラズマ-壁相互作用によるナノ粒子生成に対する壁へのプラズマ流入角度の効果

    古閑一憲, 西山雄士, 立石瑞樹, 白谷正治, H. Wulff, S. Bornholdt, H. Kersten

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  • 重水素ヘリコンプラズマとグラファイト壁の相互作用により発生したダストの捕集

    古閑一憲, 岩下伸也, 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Deposition of Si nanoparticle composite films for C-Si/Si QDs/organic Solar Cells

    K. Koga, Y. Wang, D. Ichida, H. Seo, G. Uchida, N. Itagaki, M. Shiratani

    第13回微粒子プラズマ研究会  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • グラファイトと重水素プラズマの相互作用で発生したダスト捕集

    古閑一憲, 岩下伸也, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 第29回年会  2012.11 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:春日クローバープラザ   Country:Japan  

  • 高気圧Ar+CH4ナノ秒放電を用いた薄膜堆積とナノ粒子生成

    古閑一憲, 岩下伸也, 山下大輔, 内田儀一郎, 白谷正治, Czarnetzki U.

    プラズマ・核融合学会 第29回年会  2012.11 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:春日クローバープラザ   Country:Japan  

  • Plasma Chemical Vapor Deposition for Solar Cells (Invited) Invited International conference

    K. Koga

    2012 Workshop on Advanced Surface and Material Technologies  2012.11 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ming Chi University of Technology   Country:Taiwan, Province of China  

  • Electrical Asymmetry Effectを用いた微粒子のシース間輸送

    古閑一憲, 岩下伸也, 内田儀一郎, J. Schulze, E. Schungel, P. Hartmann, 白谷正治, Z. Donko, U. Czarnetzki

    九州山口プラズマ研究会、応物新領域研究会  2012.11 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:由布院倶楽部   Country:Japan  

  • Control of Dust Flux in LHD and in a Divertor Simulator International conference

    K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    24th Fusion Energy Conference (IAEA)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Diego  

  • Formation of Nanoparticles in High Pressure Reactive Nanosecond Discharges International conference

    K. Koga, S. Iwashita, M. Shiratani, U. Czarnetzki

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Optical Trapping of Single Fine Particle in Plasmas for study of interactions between a fine particle and plasmas International conference

    K. Koga, D. Yamashita, S. Kitazaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    NANOSMAT 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aqua Palace Hotel  

  • Control of deposition profile and properties of plasma CVD carbon films International conference

    K. Koga, T. Urakawa, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    13th International Conference on Plasma Surface Engineering (PSE2012)  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Garmisch-Partenkirchen   Country:Germany  

  • Effects of Substrate DC Bias Voltage on Dust Collection Efficiency in Large Helical Device International conference

    K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    20th International Conference on Plasma Surface Interactions 2012 (PSI2012)  2012.5 

     More details

    Event date: 2012.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Eurogress Aachen   Country:Germany  

  • Effects of incorporation of clusters generated in the plasma ignition phase on Schottky cell performance of amorphous silicon films International conference

    K. Koga, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, G. Uchida, N. Itagaki, M. Shiratani

    The Fourth International Workshop on Thin-Film Silicon Solar Cells (IWTFSSC-4)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Hotel Beaulac   Country:Switzerland  

  • Three growth modes of nanoparticles generated in reactive plasmas International conference

    K. Koga, K. Kamataki, S. Nunomura, S. Iwashita, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, U. Czarnetzki

    DPG Spring Meeting of the Section AMOP (SAMOP)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Stuttgart   Country:Germany  

  • 低温プラズマ異方性CVDを用いた微細トレンチ上面への自己組織カーボンマスク形成 International conference

    古閑一憲, 浦川達也, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • プロセスプラズマ中の微粒子挙動研究とその応用(特別講演) Invited

    古閑一憲

    プラズマ科学のフロンティア2011研究会  2011.9 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • CVDプラズマ中ナノ粒子の制御とその応用 (招待講演) Invited

    古閑一憲

    AIST計測・診断システム研究協議会 第8回プラズマ技術研究会  2011.8 

     More details

    Event date: 2011.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:虹の松原ホテル, 佐賀   Country:Japan  

  • 基板バイアスによるダスト捕集の検討

    古閑一憲

    第9回LHDにおけるPWI共同研究・検討会  2011.7 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Effects of surface treatment on performance of Si nano-particle quantum dot solar cells International conference

    K. Koga, G. Uchida, M. Sato, Y. Wang, K. Nakahara, K. Kamataki, N. Itagaki, M. Shiratani

    The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Furama Hotel, Dalian   Country:China  

  • Deposition of carbon films on top surface of fine trenches at 100℃ using a plasma anisotropic CVD method

    古閑一憲, 野村卓也, 浦川達也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • Transport Control of Carbon Nanoparticles in H2 Helicon Discharges by Biasing Wall

    古閑一憲、西山雄士、白谷正治

    NIFSダスト研「次世代核融合装置に向けたダスト問題に関する研究会」  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics (Invited) Invited International conference

    K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani

    International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011)  2011.2 

     More details

    Event date: 2011.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Panjab University   Country:India  

  • Radical flux evaluation of high pressure silane plasma CVD using multi-hollow discharges (Invited) Invited International conference

    K. Koga, T. Matsunaga, Y. Kawashima, Y. Kim, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • 微細パターン基板へのSiOx-CH3ナノ粒子堆積

    古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治

    第27回プラズマ・核融合学会年会  2010.12 

     More details

    Event date: 2010.11 - 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:札幌国際プラザ   Country:Japan  

    Deposition of SiOx-CH3 nano-particles on fine pattern substrate

  • プラズマ-カーボン壁相互作用で発生したカーボン微粒子の基板へのフラックス評価

    古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 芦川直子, 増﨑貴, 西村清彦, 相良明男, LHD実験グループ

    第27回プラズマ・核融合学会年会  2010.11 

     More details

    Event date: 2010.11 - 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:札幌国際プラザ   Country:Japan  

    Evaluation of the flux of carbon particles generated plasma-carbon wall interaction to substrates

  • Deposition profile control of carbon films on the surface of fine structures using plasma CVD (Invited) Invited International conference

    K. Koga, T. Nomura, G. Uchida, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 1st Korean-Japan Symposium on Surface Technology  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Premier Songdo Park Hotel, Inchon, Korea   Country:Korea, Republic of  

  • Deposition of Nanoparticles using Substrate Bias Voltage International conference

    K. Koga, H. Miyata, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD Experimental Group

    第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010)  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:リーガロイヤルホテル小倉   Country:Japan  

  • プラズマ-細胞相互作用による細胞活性制御

    古閑一憲, 北﨑訓, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第26回九州・山口プラズマ研究会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山陽館, 大分   Country:Japan  

  • High speed deposition of highly stable a-Si:H films using pure silane multi-hollow discharges International conference

    K. Koga, K. Nakahara, T. Matsunaga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Si quantum dot-sensitized solar cells using Si nanoparticles produced by multi-hollow discharge International conference

    K. Koga, G. Uchida, Y. Kawashima, M. Sato, K. Yamamoto, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, M. Shiratani

    3rd International Symposium on Innovative Solar Cells  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Comparison between Si thin films with and without incorporating nanoparticles into the film International conference

    K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    10th Asia Pacific Conference on Plasma Science and Technology (APCPST)  2010.7 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju island   Country:Korea, Republic of  

  • Effects of hydrogen dilution on electron density in multi-hollow discharges with magnetic field for a-Si:H film deposition International conference

    K. Koga, Y. Kawashima, K. Nakahara, T. Matsunaga, W. M. Nakamura, M. Shiratani

    35th IEEE Photovoltaic Specialists Conference (PVSC)  2010.6 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Honolulu, Hawaii  

  • へリコンプラズマーカーボン壁相互作用で発生したカーボンダストの電場による収集

    古閑一憲, 宮田大嗣, 白谷正治

    第8回LHDにおけるPWI共同研究・検討会  2010.6 

     More details

    Event date: 2010.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Plasma CVD for Si thin film solar cells International conference

    K. Koga

    2010 International Workshop on Plasma Applications  2010.6 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 振幅変調パルス放電による部分帯電ナノ粒子雲の輸送

    K. Koga, S. Iwashita, H. Miyata, M. Shiratani

    日本地球惑星科学連合年会  2010.5 

     More details

    Event date: 2010.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:千葉幕張メッセ国際会議場   Country:Japan  

    Transport of nanoparticlecloud having a fractional elementary charge by amplitude modulating pulse discharges

  • Effects of gas residence time and H2 dilution on electron density in multi-hollow discharges of SiH4+ H2

    K. Koga, H. Sato, Y. Kawashima, W. M. Nakamura, M. Shiratani

    第27回プラズマプロセシング研究会(SPP-27)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館,   Country:Japan  

  • LHDの第一壁へのダストフラックスの評価

    古閑一憲, 岩下伸也, 宮田大嗣, 山田泰之, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第2回PWI合同研究会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • シランホロ―放電に対する水素希釈の効果

    古閑一憲, 佐藤宙, 中村ウィリアム誠, 宮原弘臣, 松崎秀文, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロ―放電を用いたa-Si:H製膜中の基板温度

    古閑一憲, 川嶋勇毅, 佐藤宙, 白谷正治

    19th Academic Symposium of MRS-Japan 2009  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜   Country:Japan  

  • High Rate Deposition of Cluster-suppressed Amorphous Silicon Films Deposited Using a Multi-hollow Discharge Plasma CVD International conference

    K. Koga, H. Sato, Y. Kawashima, M. Shiratani

    2009 MRS Fall Meeting  2009.12 

     More details

    Event date: 2009.11 - 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston  

  • Plasma Treatment of Indium Compounds to Reduce Their Adverse Health Effects International conference

    K. Koga, S. Iwashita, H. Miyata, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka

    2009 MRS Fall Meeting  2009.12 

     More details

    Event date: 2009.11 - 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston  

  • Synthesis of crystalline Si nanoparticles for third generation solar cells (Invited) Invited International conference

    K. Koga, Y. Kawashima, K. Nakahara, H. Sato, M. Shiratani, M. Kondo

    10th Workshop on Fine Particle Plasmas  2009.11 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Plasma treatment of CIGS to reduce toxicity International conference

    K. Koga, S. Iwashita, H. Miyata, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka

    Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • マイクロ波共振プローブを用いたH2+SiH4マルチホロー放電の電子密度計測

    古閑一憲, 佐藤 宙, 川嶋勇毅, 白谷正治

    第70回応用物理学会学術講演会  2009.9 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  • プラズマCVDを用いた微細構造への製膜形状制御(招待講演) Invited

    古閑一憲, 白谷正治

    西日本放電懇談会  2009.8 

     More details

    Event date: 2009.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ホテル万松楼, 長崎   Country:Japan  

  • プラズマCVDの基礎 (Invited) Invited

    古閑一憲

    TEL University  2009.7 

     More details

    Event date: 2009.7

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:東京エレクトロン韮崎   Country:Japan  

  • LHDとモデル実験装置のダストの比較

    古閑一憲, 岩下伸也, 宮田大嗣, 白谷正治、芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第7回LHDにおけるPWI共同研究・検討会  2009.6 

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • 高品質光安定a-Si:H薄膜作製用マルチホロー放電における電子密度の空間分布

    古閑一憲、佐藤宙、川嶋勇毅、中村誠ウィリアム、白谷正治

    電気学会プラズマ研究会  2009.6 

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • Anisotropic deposition in narrow trenches using hydrogen assisted plasma CVD method International conference

    K. Koga, T. Nomura, M. Shiratani, M. Sekine, Y. Setsuhara, M. Hori

    Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”  2009.5 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Univ.   Country:Japan  

  • Deposition profile control of carbon films in trenches using a plasma CVD method (Invited) Invited International conference

    K. Koga

    The 7th EU-Japan Joint Symposium on Plasma Processing  2009.4 

     More details

    Event date: 2009.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Conference Center at Chteau Liblice  

  • 高品質a-Si堆積用マルチホロー放電プラズマ

    古閑一憲

    太陽電池製造用新規プラズマ源に関する研究会  2009.3 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学西新プラザ   Country:Japan  

  • Conductivity of nc-Si films depsited using multi-hollow discharge plasma CVD method

    K. Koga, Y. Kawashima, W. M. Nakamura, H. Sato, M. Tanaka, M. Shiratani,, M. Kondo

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会  2009.2 

     More details

    Event date: 2009.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • High Rate Deposition of a-Si:H Depositied using a Low Gas Pressure Multi-hollow Discharge Plasma CVD Method

    K. Koga, W. M. Nakamura, H. Sato, M. Tanaka, H. Miyahara,, M. Shiratani

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会  2009.2 

     More details

    Event date: 2009.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • プラズマプロセスにおける揺らぎの抑制と増幅 (招待講演) Invited

    古閑一憲

    プラズマ・核融合学会「プラズマ-バイオ融合科学への新展開」第2回専門委員会  2009.1 

     More details

    Event date: 2009.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • シランホロー放電に対する水素希釈の効果

    古閑一憲, 佐藤宙, 中村ウィリアム誠, 宮原弘臣, 松崎秀文, 白谷正治

    プラズマ・核融合学会 第12回九州・沖縄・山口支部大会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Dust Particles in Size Range from 1 nm to 10 μm Sampled in LHD International conference

    K. Koga, S. Iwashita, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group

    9th Workshop on Fine Particle Plasmas  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NIFS   Country:Japan  

  • Improvement in deposition rate of a-Si:H films using a low pressure multi-hollow discharge plasma CVD method International conference

    K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, M. Shiratani

    ICPP2008 Satellite Meeting on Plasma Physics, Advanced Applications in Aso  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • 水素希釈シラン有磁場マルチホロー放電を用いた高光安定a-Si:H膜の堆積

    古閑一憲, 中村ウィリアム誠, 佐藤 宙, 宮原弘臣, 白谷正治

    2008年秋季第69回応用物理学会学術講演会  2008.9 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • プラズマCVDを用いたナノ粒子含有多孔質低誘電率膜の作製

    古閑一憲

    平成20年度西日本放電懇談会  2008.8 

     More details

    Event date: 2008.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:門司港ホテル   Country:Japan  

  • Deposition of highly stable a-Si:H films using hydrogen diluted silane hollow discharge International conference

    K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki,, M. Shiratani

    The 3rd International School of Advanced Plasma Technology  2008.7 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Villa Monastero, Varenna   Country:Italy  

  • Nano-structure formation using Plasma (Invited) Invited

    K. Koga

    レノバセミナー  2008.4 

     More details

    Event date: 2008.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:新宿ワシントンホテル 高尾2   Country:Japan  

  • Effects of hydrogen dilution on a-Si:H deposition using silane hollow discharges

    K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, M. Shiratani

    第25回プラズマプロセシング研究会  2008.1 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山口県教育会館・ゆ~あいプラザ山口県社会福祉会館   Country:Japan  

  • Control of deposition profile of Cu in trenches using ion-enhanced surface reaction (Invited) Invited International conference

    K. Koga, M. Shiratani

    The 5th International Symposium on Advanced Plasma Processing, Diagnostics, The 1st International Symposium on Flexible Electronics Technology  2007.4 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Seoul   Country:Korea, Republic of  

  • Cluster-suppressed plasma CVD method employing VHF discharges International conference

    K. Koga, M. Shiratani, Y. Watanabe

    Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas  2002.12 

     More details

    Event date: 2002.12

    Language:English   Presentation type:Oral presentation (general)  

  • プラズマスパッタリングによるSiナノ構造の自己組織的形成

    古閑 一憲, 甲斐 幹英, 白谷 正治, 渡辺 征夫

    電気学会 プラズマ研究会  2002.12 

     More details

    Event date: 2002.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Correlation between cluster amount, qualities of a-Si:H films for SiH4 plasma CVD International conference

    K. Koga, K. Imabeppu, M. Kai, A. Harikai, M. Shiratani, Y. Watanabe

    American Vaccum Society 49th International Symposium  2002.11 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

  • クラスタ抑制プラズマCVD法を用いて堆積したa-Si:H膜の膜質に及ぼす放電周波数の影響

    古閑 一憲, 今別府 謙吾, 白谷 正治, 渡辺 征夫

    平成14年度応用物理学会九州支部講演会  2002.11 

     More details

    Event date: 2002.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • クラスター抑制プラズマCVD法による高品質a-Si:H堆積

    古閑 一憲, 白谷 正治, 古閑 一憲, 渡辺 征夫

    第18回九州・山口プラズマ研究会  2002.11 

     More details

    Event date: 2002.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シラン高周波放電を用いたナノ結晶シリコンクラスタの生成

    古閑 一憲, 甲斐 幹英, 白谷 正治, 渡辺 征夫

    第62回応用物理学学術講演会  2002.9 

     More details

    Event date: 2002.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • アモルファスシリコン作製になぜ放電周波数を高周波化するか?

    古閑 一憲, 白谷 正治, 渡辺 征夫

    西日本放電懇談会  2002.8 

     More details

    Event date: 2002.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Carbon nano-particles due to interaction between H2 plasmas, carbon wall International conference

    K. Koga, R. Ueharaa, M. Shiratani, Y. Watanabe, A. Komori

    Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas  2002.7 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

  • Suppression methods of cluster growth in silane discharges, their application to deposition of super high quality a-Si:H films International conference

    K. Koga, K. Imabeppu, M. Kai, A. Harikai, M. Shiratani, Y. Watanabe

    International Workshop on Information, Electrical Engineering (IWIE2002)  2002.5 

     More details

    Event date: 2002.5

    Language:English   Presentation type:Oral presentation (general)  

  • クラスタ抑制PECVD法により作製したa-Si:H薄膜の膜質とクラスタ量の相関

    古閑 一憲, 甲斐 幹英, 今別府 謙吾, 白谷 正治, 渡辺 征夫

    第49回応用物理学関係連合講演会  2002.3 

     More details

    Event date: 2002.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 水素プラズマとカーボン壁の相互作用による微粒子形成

    古閑 一憲, 上原 龍児, 白谷 正治, 渡辺 征夫, 小森 彰夫

    第49回応用物理学関係連合講演会  2002.3 

     More details

    Event date: 2002.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中のクラスタ量と電子エネルギー分布への放電周波数の影響

    古閑 一憲, 針貝 篤史, 白谷 正治, 渡辺 征夫

    第49回応用物理学関係連合講演会  2002.3 

     More details

    Event date: 2002.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • In situ mesurement of size, density of particles in sub-nm size range International conference

    K. Koga, M. Shiratani, Y. Watanabe

    Seminar of Particle Technology Division of Korean Chemical Engineering  2002.2 

     More details

    Event date: 2002.2

    Language:English   Presentation type:Oral presentation (general)  

  • In situ mesurement of size, density of particles in subnm size range (Invited) Invited

    K. Koga, M. Shiratani, Y. Watanabe

    The Seminar of Particle Technology Division of Korean Chemical Engineering  2002.2 

     More details

    Event date: 2002.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea Institute of Energy Research, Daejeon   Country:Korea, Republic of  

  • Preliminary experiments on dust particles formation due to interaction between plasma, graphite wall International conference

    K. Koga, M. Shiratani, Y. Watanabe

    Fine Particle Plasmas: Basis, Applications - Second Workshop on Fine Particle Plasmas  2001.12 

     More details

    Event date: 2001.12

    Language:English   Presentation type:Oral presentation (general)  

  • LSI内微細銅配線形用プラズマCVD

    古閑 一憲, 白谷 正治, 古閑 一憲, 渡辺 征夫

    第17回九州・山口プラズマ研究会  2001.11 

     More details

    Event date: 2001.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • ダイバータ壁とプラズマの相互作用による微粒子形成機構研究用装置の試作

    古閑 一憲, 徳安 達郎, 上原 龍児, 白谷 正治, 渡辺 征夫

    第18回プラズマ・核融合学会年会  2001.11 

     More details

    Event date: 2001.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シラン高周波放電中のクラスタ成長に関する水素希釈と励起周波数の効果

    古閑 一憲, 針貝 篤史, 白谷 正治, 渡辺 征夫, 渡邉 剛

    第62回応用物理学学術講演会  2001.9 

     More details

    Event date: 2001.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Deposition of super high quality a-Si:H thin films using cluster-suppressed plasma CVD reactor International conference

    K. Koga, T. Sonoda, N. Shikatani, M. Shiratani, Y. Watanabe

    International Conference on Phenomena in Ionized Gases  2001.7 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

  • クラスタ抑制プラズマCVD装置による高品質a-Si:H作製

    古閑 一憲, 園田 剛士, 鹿谷 昇, 白谷 正治, 渡辺 征夫

    第48回応用物理学関係連合講演会  2001.3 

     More details

    Event date: 2001.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 水素希釈シラン高周波放電中の電子密度及びイオン密度

    古閑 一憲, 田中 健一, 白谷 正治, 渡辺 征夫

    第48回応用物理学関係連合講演会  2001.3 

     More details

    Event date: 2001.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Effects of H2 dilution, excitation frequency on initial growth of clusters in silane plasmas International conference

    K. Koga, K. Tanaka, M. Shiratani, Y. Watanabe

    Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing  2001.1 

     More details

    Event date: 2001.1

    Language:English   Presentation type:Oral presentation (general)  

  • シランプラズマ中に発生する微粒子の表面付着確率

    古閑 一憲, 徳安 達郎, 白谷 正治, 渡辺 征夫

    平成12年度応用物理学会九州支部講演会  2000.12 

     More details

    Event date: 2000.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中のSinHxクラスタ核のその場計測と成長制御

    古閑 一憲, 田中 健一, 徳安 達郎, 白谷 正治, 渡辺 征夫

    プラズマ・核融合学会九州地区第4回研究発表講演会  2000.12 

     More details

    Event date: 2000.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Initial growth of clusters in silane rf discharges International conference

    K. Koga, K. Tanaka, T. Tokuyasu, M. Shiratani, Y. Watanabe

    53rd Annual Gaseous Electronics Conference  2000.10 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

  • シランプラズマ中のクラスタ成長に対する水素希釈・放電周波数の効果

    古閑 一憲, 田中 健一, 白谷 正治, 渡辺 征夫

    第61回応用物理学学術講演会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シラン高周波放電中クラスタの表面付着確率

    古閑 一憲, 徳安 達郎, 白谷 正治, 渡辺 征夫

    第61回応用物理学学術講演会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シラン高周波放電中のクラスタのサイズ・密度測定

    古閑 一憲, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫

    第47回応用物理学関係連合講演会  2000.3 

     More details

    Event date: 2000.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマ中のクラスタの新計測法

    古閑 一憲, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫

    第17回プラズマプロセシング研究会  2000.1 

     More details

    Event date: 2000.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中の微粒子成長の基板材料依存性

    古閑 一憲, 前田 真一, 白谷 正治, 渡辺 征夫

    平成11年度応用物理学会九州支部講演会  1999.12 

     More details

    Event date: 1999.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 反応性プラズマにおける微粒子発生

    古閑 一憲, 前田 真一, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫

    プラズマ・核融合学会九州地区第3回研究発表講演会  1999.12 

     More details

    Event date: 1999.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 水素希釈によるシラン高周波放電中微小微粒子の抑制効果

    古閑 一憲, 松岡 泰弘, 田中 健一, 白谷 正治, 渡辺 征夫

    第60回応用物理学学術講演会  1999.9 

     More details

    Event date: 1999.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シラン高周波放電における微小微粒子の抑制

    古閑 一憲

    西日本放電懇談会  1999.8 

     More details

    Event date: 1999.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Characteristics of Asymmetric Ion Sheath in a Negative Ion Plasma International conference

    K. Koga, H. Naitou, Y. Kawai

    2nd International Conference on the Physics of Dusty Plasmas  1999.5 

     More details

    Event date: 1999.5

    Language:English   Presentation type:Oral presentation (general)  

  • イオンシース不安定性と非対称イオンシース構造

    古閑 一憲, 内藤 裕志, 河合 良信

    プラズマ・核融合学会九州地区第2回研究発表講演会  1999.2 

     More details

    Event date: 1999.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマスパッタによる高移動度アモルファスITO成膜におけるハイブリッド機械学習モデル

    鎌滝晋礼, 板垣奈穂, 山下大輔, 奥村賢直, 山下尚人, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • Large-Scale Fabrication of Tm3 Fe5 O12 Film with Perpendicular Magnetic Anisotropy Using Magnetron Sputtering International conference

    A. M. Nurut, S. Obinata, T. Okumura, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, N. Yamashita

    ISPlasma  2024.3 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • イネ種皮のプラズマ起因化学種透過性の二次元分布解析

    史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • プラズマ照射によるシロイヌナズナ種皮の物質透過性変化

    奥村賢直, 古閑一憲, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 南原英司

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • モデル植物ゼニゴケを用いた低温プラズマ照射の初発反応と成長に対する影響の解析

    坪山祥子, 奥村賢直, 古閑一憲, 白谷正治, 朽津和幸

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • 大気圧プラズマを用いた窒素固定における電極温度の効果

    中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • プラズマ反応場とナノ粒子生成:成長制御と応用展開

    布村正太, 白谷正治

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • プラズマを用いた CO2 のメタン化におけるモレキュラーシーブの活用

    都甲将, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • CxHy+ArプラズマCVDを用いた水素化アモルファスカーボン膜の堆積特性に対するガス圧力の効果

    小野晋次郎, 恵利眞人, 奥村賢直, 山下尚人, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024.3 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学   Country:Japan  

  • Sputtering deposition of low resistive 30-nm-thick ZnO:Al films on seed layers grown via solid phase crystallization of fractionally crystallized ZnON films International conference

    Y. Wada, S. Zhiyuan, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki

    ISPlasma  2024.3 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Deposition of carbon nanoparticles fabricated by multi-hollow discharge plasma CVD on DC biased substrates International conference

    K. Koga, S. Ono, M. Eri, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani

    ISPlasma  2024.3 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Molecular structure analysis of DBD plasma irradiated DMPO by LC-MS International conference

    T. Okumura, H. Shi, P.Attri, D. Yamasita, K. Kamataki, N.Yamasita, N. Itagaki, K. Koga, M. Shiratan

    ISPlasma  2024.3 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Influence of CO and H2O2 in plasma agriculture International conference

    P. Attri, T. Okumura, K. Koga, K. Kamataki, M. Shiratani

    ISPlasma  2024.3 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Nitrogen Fixation to Leaf Mold Using Air Plasma and Evaluation of Phenotype Response of Sugarcane to Nitrogen-Fixed Fertilizer International conference

    T.Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, K. Koga

    ISPlasma  2024.3 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • プラズマスパッタによる高品質アモルファスITO薄膜形成のためのハイブリッド機械学習モデル(招待講演) Invited

    鎌滝晋礼,板垣 奈穂,山下 大輔, 奥村 賢直,山下 尚人, 古閑 一憲, 白谷 正治

    SPP-41  2024.1 

     More details

    Event date: 2024.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Predictions for High Quality Amorphous ITO(In2O3:Sn) Film Formation via Hybrid Machine Learning Model (Invited) Invited International conference

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    ICTS  2024.1 

     More details

    Event date: 2024.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:パラダイスホテル釜山   Country:Korea, Republic of  

  • Induction of plant responses by plasma irradiation to seeds and their quantitative evaluation (Invited) Invited International conference

    T. Okumura, P. Attri, Y. Ishibashi, K.Koga, M. Shiratani

    ICTS  2024.1 

     More details

    Event date: 2024.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:パラダイスホテル釜山   Country:Korea, Republic of  

  • Effects of Carbon Nanoparticle Interposed between Two Hydrogenated Amorphous Carbon Films on Surface Morphology of a-C:H Film

    S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第39回 九州・山口プラズマ研究会  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • Enhancement of The Coercivity and Blocking Temperature of Co doped ZnO films by RF sputtering Using Nitrogen International conference

    M. N. Agusutrisno, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, N. Yamashita

    MRM2023/IUMRS-ICA2023  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • Surface Functionalization of Polytetrafluoroethylene using Atmospheric Pressure DBD Plasma of Nitrogen with Water-Ethanol Vapor International conference

    S. W. Fitriani, M. Shiratani, A. Hatta

    MRM2023/IUMRS-ICA2023  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • Analysis of Plasma Processes using Machine Learning and Quantum Computing International conference

    M. Shiratani, K. Kamataki

    MRM2023/IUMRS-ICA2023  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • Evaluation of Elastic Parameters of a-C:H Film with Carbon Nanoparticles Using Nanoindentation Method International conference

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • Hybrid machine learning model prediction for high mobility amorphous ITO films fabricated by RF plasma sputtering International conference

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • Fabrication of ZnO Based Transparent Conducting Oxide as an Alternative to In2O3:Sn by Sputtering Combined with Solid Phase Crystallization International conference

    N. Itagaki, Z. Shen, Y. Wada, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • Catalytic ability of Cu and Ni in methanation with plasma catalysis International conference

    S. Toko, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    MRM2023/IUMRS-ICA2023  2023.12 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立京都国際会館   Country:Japan  

  • クメンを用いた二周波容量結合プラズマ CVD 法による a-C:H 膜の製膜特性

    恵利眞人, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • PECVD を用いた a-C:H 成膜における添加希ガス種における成膜機構の違い

    池田築, 大高真寛,大友洋,脇田大地,頼建勲,鎌滝晋礼, 山下大輔,奥村賢直,山下尚人,板垣奈穂,古閑一憲, 白谷正治, 進藤崇央,松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • 任意波形放電による水素化アモルファスカーボン成膜:イオンフラックスが膜質に与える影響

    脇田大地,大高真寛,池田築,頼建勲,大友洋,鎌滝晋礼,山下直人,板垣奈穂,古閑一憲,白谷正治,進藤崇央,松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • 逆 Stranski-Krastanov モードによるサファイア基板上への(ZnO)x(InN)1-x 膜のエピタキシャル成長:バッファー層のモフォロジーの影響

    畑昌太朗,中野祐太郎,成重椋太,山下尚人,鎌滝晋礼,奥村賢直,古閑一憲,白谷正治,木山治樹,板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • 逆 Stranski-Krastanov モードを利用した異なるオフ角を有するサファイア基板上への(ZnO)x(InN)1-x 膜の成長

    中野祐太郎,成重椋太,山下尚人,鎌滝晋礼,奥村賢直,古閑一憲,白谷正治,木山治樹,板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • 放電電圧変調波形が高周波容量結合プラズマに与える影響:PIC-MCC シミュレーション

    長尾伊織,山本祐馬,佐藤優志,鎌滝晋礼,山下尚人, 奥村賢直,木山治樹,板垣奈穂,古閑一憲,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • 水と大気圧プラズマの相互作用による二酸化炭素分解

    乙部響, P. Attri,奥村賢直, 史合平, 中尾匠,日高直哉, 鎌滝晋礼,山下大輔,板垣奈穂,古閑一憲,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • TEOS-PECVD における発光分光計測を用いたSiO2 薄膜膜質推定についての研究

    佐藤優志, 山本祐馬, F. W. Sukuma, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023.12 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口KDDI維新ホール   Country:Japan  

  • 空気プラズマを用いた窒素固定に対する放電電力密度の効果

    中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲

    第40回 プラズマ・核融合学会 年会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アイーナ・いわて県民情報交流センター   Country:Japan  

  • リアルタイム蛍光イメージングによる非熱プラズマ照射に対するゼニゴの初発応答解析

    奥村賢直, 坪山祥子, 古閑一憲, 白谷正治, 朽津和幸

    第40回 プラズマ・核融合学会 年会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アイーナ・いわて県民情報交流センター   Country:Japan  

  • Effect of the plasma-generated reactive species on protein folding

    P. Attri, T. Okumura, K. Koga, K. Kamataki, M. Shiratani

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学伊都キャンパス   Country:Japan  

  • プラズマ中に浮遊する微粒子の帯電量の新評価法

    井口恒聖, 佐藤斗真, 鎌滝晋礼, P. Yiming, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 富田健太郎

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学伊都キャンパス   Country:Japan  

  • TEOS-PECVD によるSiO2成膜におけるプラズマ発光強度と膜質の関係

    山本祐馬, 佐藤優志, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学伊都キャンパス   Country:Japan  

  • 任意電圧波形放電による容量結合プラズマにおける重畳周波数の効果:PIC-MCCモデル

    頼 建勳, 鎌滝 晋礼, 山下 大輔, 奥村 賢直, 山下 尚人, 板垣 奈穂, 古閑 一憲, 白谷 正治

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学伊都キャンパス   Country:Japan  

  • Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of thickness of 3D island buffer layer

    H. Otsuyama, R. Mitsuishi, T. Yunoue, K. Yataka, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学伊都キャンパス   Country:Japan  

  • Comparative study of deposition characteristics of different precursors for plasma CVD International conference

    S. Ono, M. Eri, T. Okumura, K. Kunihiro, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    DPS2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ウインク愛知   Country:Japan  

  • Quantitative Analysis of Electric Field Intensity Generated by Scalable Dielectric Barrier Discharge Electrodes for Irradiating to Plant Seeds International conference

    K. Koga, T. Okumura, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, M. Shiratani

    DPS2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ウインク愛知   Country:Japan  

  • Combining machine learning of classification and regression models for predicting high quality amorphous ITO films fabricated by RF plasma sputtering International conference

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    DPS2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ウインク愛知   Country:Japan  

  • 持続可能世界へのプラズマ農業の可能な貢献

    白谷正治,アトリパンカジ,奥村賢直,古閑一憲

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • 水分存在下の大気圧プラズマ誘起CO2変換

    古閑一憲,アタリパンカジ,史合平,中尾匠,奥村賢直,白谷正治

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • 大量処理のためのプラズマ照射による土壌への窒素固定化の最適化

    中尾 匠,奥村 賢,アタリ パンカジ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,白谷 正治,古閑 一憲

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • 液体クロマトグラフィー質量分析法を用いたプラズマ被照射物からの亜硝酸塩および硝酸塩の同時検出法の開発

    日高 直哉,奥村 賢直,アタリ パンカジ,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • スケーラブル誘電体バリア放電プラズマを照射したDMPOの質量分析

    乙部 響,史 合平,奥村 賢直,阿南 輝樹,アタリ パンカジ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • 質量分析を用いたプラズマ照射イネ種子における分子修飾解析

    史 合平,奥村 賢直,パンカジ アトリ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • 低温プラズマによる植物の成長制御の分子メカニズム: 植物における活性酸素種の生理的役割

    朽津和幸,坪山祥子,橋本貴史,橋本研志,奥村賢直,古閑一憲,白谷正治

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Plasma effect on the enzyme structure: Experimental and simulation studies

    P. Attri,T. Okumura, K. Koga, K. Kamataki, M. Shiratani

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • 種子への誘電体バリア放電プラズマ照射による植物の応答誘導

    奥村賢直,アタリパンカジ,古閑一憲,白谷正治

    第33回日本MRS年次大会  2023.11 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Catalase enzyme inhibition's effect on plasma medicine International conference

    P. Attri, T. Okumura1, K. Koga, M. Shiratani

    AAPPS-DPP2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ポートメッセ名古屋   Country:Japan  

  • Fabrication of ZnO based transparent conducting oxides by sputtering combined with solid phase crystallization : a way to meet the future demand for transparent electrodes (Invited) Invited International conference

    N. Itagaki, Y. Wada, H. Yabuta, M. Shiratani

    AAPPS-DPP2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ポートメッセ名古屋   Country:Japan  

  • Predictions for High Mobility Amorphous ITO(In2O3:Sn) Films via Hybrid Machine Learning Model International conference

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    AAPPS-DPP2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ポートメッセ名古屋   Country:Japan  

  • Impact of plasma irradiation on plant seeds metabolism International conference

    T. Okumura, P. Attri, Y. Ishibashi, K. Koga, M. Shiratani

    AAPPS-DPP2023  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ポートメッセ名古屋   Country:Japan  

  • Highly sensitive electric field vector measurements using an optically trapped fine particle International conference

    M. Shiratani, T. Sato, K. Kamataki, S. W. Fitriani,K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga

    Global Plasma Forum in Aomori  2023.10 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ねぶたの家 ワ・ラッセ   Country:Korea, Republic of  

  • Controlling the synthesis, transport, and surface coverage of carbon nanoparticles using plasma CVD International conference

    S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani

    Global Plasma Forum in Aomori  2023.10 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ねぶたの家 ワ・ラッセ   Country:Japan  

  • Evaluation of carbon nanoparticle adhesion on substrate surface deposited by plasma CVD International conference

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    Global Plasma Forum in Aomori  2023.10 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ねぶたの家 ワ・ラッセ   Country:Japan  

  • Evaluation of Interaction Between Substrate and Nanoparticles Deposited by Plasma Chemical Vapor Deposition International conference

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N.Yamashita, N. Itagaki, M. Shiratani

    GEC  2023.10 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Michigan League  

  • プラズマ照射による窒素固定肥料へのサトウキビの表現型応答解析

    中尾匠, 奥村賢直, パンカジアタリ, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(4)

    鎌滝晋礼, 佐藤斗真, 井口恒聖, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • ZnON膜からの固相結晶化ZnO膜の形成と透明導電膜シード層としての効果:ZnON膜の結晶化度の影響

    和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • 逆Stranski-Krastanovモードを用いたサファイア基板上へのZnO単結晶膜成長:MgOバッファー層の効果

    湯上貴文, 矢高功太郎, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • サファイア基板上への原子ステップを有するZn1-xMgxO薄膜の形成:3次元島バッファー層の形成温度の影響

    矢高功太郎, 湯上貴文, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • ZnOおよびScAlMgO4ステップ基板上への(ZnO)x(InN)1-x膜の室温エピタキシャル成長

    成重椋太, 中野祐太朗, 山下尚人, 鎌滝晋礼, 奥村賢直, 木山治樹, 古閑一憲, 白谷正治, 薮田久人, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • 逆Stranski-Krastanovモードによる格子不整合基板上への原子平坦 (ZnO)x(InN)1-x 膜の成長

    中野祐太郎, 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 木山治樹, 薮田久人, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • 質量分析を用いたプラズマ照射DMPOの分子修飾解析

    史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • サンドウィッチ構造 a-C:H 膜の剥離と強度に対する 膜界面に堆積したナノ粒子の効果

    小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • 任意波形放電を用いた水素化アモルファスカーボン膜の膜質制御

    大高真寛,大友洋, 池田築, 頼建勲, 脇田大地, 鎌滝晋礼, 山下直人, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • プラズマを用いたCO2の水素還元における選択性の制御

    都甲将, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一

    第84回応用物理学会秋季学術講演会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール他   Country:Japan  

  • 質量分析を用いたプラズマ照射イネ種子における変動分子の組織別解析

    史合平, 奥村賢直, A. Pankaj, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度(第76回)電気・情報関係学会九州支部連合大会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:崇城大学   Country:Japan  

  • 大気圧空気プラズマによる硝酸態窒素固定量に対する放電電力密度依存性

    中尾匠, 奥村賢直, A. Pankaj, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    2023年度(第76回)電気・情報関係学会九州支部連合大会  2023.9 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:崇城大学   Country:Japan  

  • Measurement of electric field, UV photons, and long-lifetime reactive species generated by atmospheric pressure air plasma for plasma bio applications International conference

    T. Okumura, S. Tsuboyama, Y. Tagawa, T. Nakao, T. Anan, H. Tanaka, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, K. Koga, M. Shiratani, K. Kuchitsu

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Effects of tailored voltage waveform discharges on deposition of hydrogenated amorphous carbon films by CH4/Ar capacitively coupled plasma International conference

    M. Otaka, H. Otomo, K. Ikeda, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Optical tweezers technique for electric field strength and fluctuation measurements in plasma using a fine particle International conference

    T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Control of ion trajectory in high aspect ratio trenches by using amplitude modulated rf discharges International conference

    I. Nagao, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Investigation of particle charge and interparticle interaction in a plasma International conference

    K. Kamataki, T. Sato, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Coverage control of carbon nanoparticles on substrate using capacitively coupled plasma chemical vapor deposition International conference

    K. Koga, S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Sputter epitaxy of atomically flat (ZnO)x(InN)1-x films on sapphire substrates using ZnO(N) buffer layers fabricated by Ar/N2 discharges International conference

    Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Deposition characteristics of cumene plasma CVD for high-speed deposition of high-density a-C:H films International conference

    S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Relation between Spatial Distribution of Optical Emission Intensity and SiO2 Film Property in TEOS-PECVD International conference

    Y. Yamamoto, I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Effects of Ne mixing on plasma enhanced chemical vapor deposition of a-C:H films using CH4/Ar/Ne capacitively coupled discharges International conference

    K. Ikeda, M. Otaka, H. Otomo, T. Arima, J. Lai, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Sputter epitaxy of Zn1-xMgxO films on lattice-mismatched sapphire substrates utilizing ZnO(N)/MgO buffer layers fabricated by Ar/N2 and Ar/O2 discharges International conference

    T. Yunoue, K. Yataka, N. Yamashita, D. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Pseudomorphic growth of (ZnO)x(InN)1-x films on ZnO substrates by magnetron sputtering using Ar/N2/O2 discharges International conference

    R. Narishige, Y. Nakano, N. Yamashita, K. Kamataki, T. Okumura, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Sputter deposition of low resistive 30-nm-thick ZnO:Al films using ZnO seed layers grown via solid-phase crystallization International conference

    Y. Wada, Z. Shen, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Effects of lower discharge frequency on ion energy distribution function in dual frequency plasma studied by particle-in-cell/Monte Carlo method International conference

    J. Lai, T. Arima, M. Otaka, K. Ikeda, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    ICPIG2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Zuiderduin, Egmonf aan Zee   Country:Netherlands  

  • Stress reduction of a-C:H films by nano-structuring of inter-layer of films deposited by plasma CVD (Invited) Invited International conference

    K. Koga, S. Ono, T. Okumura, M. Shiratani

    Thermec'2023  2023.7 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ウィーン工科大学   Country:Austria  

  • プラズマ中帯電微粒子の相互作用に関する研究

    井口恒聖, 佐藤斗真, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下尚人, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度日本表面真空学会 九州支部学術講演会  2023.6 

     More details

    Event date: 2023.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アルカス佐世保   Country:Japan  

  • ナノ粒子を用いた膜界面の形状ゆらぎによる膜応力低減

    小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度日本表面真空学会 九州支部学術講演会  2023.6 

     More details

    Event date: 2023.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アルカス佐世保   Country:Japan  

  • Plasma-induced CO2 conversion: Experimental and Computational study International conference

    P. Attri, T. Okumura, K. Koga, N. Takeuchi, K. Kamataki, M. Shiratani

    ISPC25  2023.5 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:みやこめっせ   Country:Japan  

  • Prediction of Plasma CVD Process Data of a-Si:H Films via Machine Learning International conference

    K. Kamataki, F. L. Chawarambwa, D. Yamashita, N. Yamashita, T.Okumura, N. Itagaki, K.Koga, M. Shiratani

    ISPC25  2023.5 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:みやこめっせ   Country:Japan  

  • Effects of sputtering of a-C:H films on the chemical composition International conference

    M. Shiratani, K. Ikeda, M. Otaka, S. Ono, T. Okumura, K. Koga, K. Kamataki

    ISPC25  2023.5 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:みやこめっせ   Country:Japan  

  • プラズマCVD法で堆積した2層a-C:Hの機械的強度に対するカーボンナノ粒子挿入の効果

    田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023.3 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • Non-off Axis Sputtering Deposition of Ferrimagnetic Insulator Film with Perpendicular Magnetic Anisotropy

    山下尚人, A. Agustrisno, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, C. Marrows

    第70回応用物理学会 春季学術講演会  2023.3 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • プラズマ照射によるレタス種子表面および内部の分子変動

    阿南輝樹, 奥村賢直, アトリパンカジ, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023.3 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(3)

    鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023.3 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • プラズマおよび電界を用いた農産物の生産法,加工・保存法の新展開

    奥村賢直, P. Attri, 古閑一憲, 白谷正治

    令和5年電気学会全国大会  2023.3 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Molecular Structure Change in Phenol by Gas-Liquid Discharge Plasma International conference

    A. Khalil, T. Okumura, P. Attri, K. Kamataki, K. Koga, M. Shiratani

    ISPlasma2023/IC-PLANTS2023  2023.3 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:岐阜大学   Country:Japan  

  • Effects of Pulsed Microwave ECR Plasma on Si3N4 Etching International conference

    M. Morimoto, M. Matsui, K. Koga, M. Shiratani

    ISPlasma2023/IC-PLANTS2023  2023.3 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:岐阜大学   Country:Japan  

  • 種子へのプラズマ照射量の定量解析最前線 (招待講演) Invited

    奥村賢直, 古閑一, 白谷正治

    プラズマ種子科学研究会  2023.2 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 種子へのプラズマ照射量定量解析 (招待講演) Invited

    奥村賢直, 古閑一憲, 白谷正治

    東北プラズマフォーラム 令和4年度 東北大学 電気通信研究所 共同プロジェクト研究会  2023.2 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • Contribution of non-thermal plasma in agriculture: Focus on pre-harvest treatment Invited International conference

    P. Attri, T. Okumura, Y. Ishibashi, K. Koga, M. Shiratani

    SAPP XXIV  2023.1 

     More details

    Event date: 2023.1 - 2023.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Sorea TRIGAN, Štrbské Pleso, Slovakia  

  • Enhancing the Performance of Quasi-Solid Dye-Sensitized Tandem Solar cells Under Low-Concentrated Light International conference

    F. L. Chawarambwa, M. Shiratani, E. T. Putri

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Measurement of fluctuation of electric field in Ar plasmas using a fine particle trapped with laser tweezers International conference

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • The effect of plasma off time on CO2 methanation using pulsed discharge plasma International conference

    T. Hasegawa, M. Ideguchi, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Structural Characteristics of Carbon Sphere Composite Deposited by Ar+CH4 Plasma Chemical Vapor Deposition Method International conference

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. 0kumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Sputtering deposition of single crystalline Zn1-xMgxO films on sapphire substrate via inverted Staranski-Krastanov mode: effects of substrate International conference

    K.Yataka, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Time resolved optical emission spectroscopy in Ar and Ar/Ne capacitively coupled radio frequency plasma. International conference

    M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Fluctuation of plasma and amount of nanoparticles in TEOS amplitude modulated rf discharge International conference

    A. Yamamoto, I. Nagao, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Effects of Amplitude Modulation Discharge on Ion Energy and Angular Distributions studied by PIC-MCC method International conference

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Effects of Gas Pressure on Deposition Characteristics of Hydrogenated Amorphous Carbon Films Produced by Ar + CH4 Capacitively Coupled Plasma International conference

    S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Masaharu

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Effects of thermal treatment of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated by magnetron sputtering International conference

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Effects of RF powers on the crystal quality and surface morphology of single crystalline ZnO films deposited by magnetron sputtering International conference

    R. Mitsuishi, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Microscopic analysis of single crystalline Zn1-xMgxO thin films on sapphire grown via inverted Stranski-Krastanov mode International conference

    N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Effects of lower discharge frequency on plasma parameters in capacitively coupled plasmas studied by particle-in-cell/Monte Carlo collision method International conference

    T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning International conference

    K. Kamataki, Y. Mido, I. Nagao, D. Yamashita, T. Okumura, N.Yamashita, K. Koga, N. Itagaki, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • A Study of Solid-phase Crystallization of Amorphous ZnON Films International conference

    Z. Shen, Y. Mido, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani1, H. Yabuta, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Performance characteristics of bifacial dye-sensitized solar cells with a v-shape low concentrating light system International conference

    T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023.1 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • サファイア基板上への Zn1-xMgxO 膜のスパッタエピタキシー:ZnON/MgO バッファー層の効果

    湯上貴文, 矢高功太郎, 三石遼, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 薮田久人, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022.12 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学筑紫キャンパス   Country:Japan  

  • プラズマ照射を用いて窒素リッチ化した肥料で栽培したサトウキビの生育評価

    中尾匠,小野晋二郎,山本小龍,内野泰祐,奥村賢直,P. Attri,古閑一憲, 山下大輔,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022.12 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学筑紫キャンパス   Country:Japan  

  • 水への CO₂プラズマ照射による選択的 CO 生成

    内野泰佑, アタリ パンカジ, 奥村賢直, 古閑一憲,山下大輔, 鎌滝普礼, 山下尚人, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022.12 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学筑紫キャンパス   Country:Japan  

  • Ar/Ne/CH4 プラズマ CVD による a-C:H 成膜への Ne 混合効果

    池田築,大高真寛,大友洋,有馬聡明,頼建勲,鎌滝晋礼, 山下大輔,奥村賢直,山下尚人,板垣奈穂,古閑一憲,白谷正治, 進藤崇央,田中諭志,松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022.12 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学筑紫キャンパス   Country:Japan  

  • ナノインデンテーションを用いた a-C:H/CNP/a-C:H サンドイッチ構造膜の機械的強度評価

    田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022.12 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学筑紫キャンパス   Country:Japan  

  • 固相結晶成長した ZnO シード層上への ZnO:Al 膜のスパッタリング成膜

    和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022.12 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学筑紫キャンパス   Country:Japan  

  • Influence of Seed Dormancy on Plasma Irradiation Effects on their Germination International conference

    K. Koga, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Kuchitsu, K. Ishikawa, M. Hori

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Mechanism of homogeneous nucleation of ZnO in N2 and Ar plasma International conference

    N. Yamashita, R. Mitsuishi, Y. Nakamura, T. Okumura, K. Kamataki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Predictive Analytics in Impurity Mediated Amorphization Plasma Sputtering Process using Machine Learning tools and Techniques International conference

    F. L. Chawarambwa, M. Shiratani, K. Kamataki, N. Itagaki

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Electric fields measurement using an optically trapped charged particle in Ar plasma (Invited) Invited International conference

    K. Kamataki, T. Sato, k. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Role of reactive nitrogen species on the radish seeds International conference

    P. Attri, T. Okumura, T.E. Putri, F. L. Chawarambwa, K. Koga, K. Kamataki, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Issues of Advanced EUV Lithography International conference

    M. Shiratani, K. Kamataki, K. Koga

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition International conference

    S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Effect of water absorbent materials on CO2 methanation using plasma catalyst International conference

    T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Effects of Amplitude Modulated Discharge on SiO2 film qualities in TEOS Plasma CVD International conference

    Y. Yamamoto, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Investigation of dual frequency method in capacitively coupled plasmas using particle-in-cell/Monte Carlo method International conference

    J. Lai, T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Measurement of the charge on single fine particles in plasma International conference

    K. Kamataki, T. Sato, K. Tomita, Y. Pan, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Discharge frequency dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas International conference

    K. Ikeda, M. Otaka, T. Arima, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Improvement of Germination Characteristics of Sorghum bicolor Seeds Irradiated by Surface Discharge Plasma International conference

    S. Yamamoto, T. Nakao, T. Anan, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Yanagawa, S. Shimada

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Plasma Irradiation-induced Molecular Modification of Quercetin as Plant Antioxidant International conference

    T. Anan, T. Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Study on biomolecules extracted from plasma-irradiated plant seeds using quadrupole mass spectrometer International conference

    T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市産業貿易センタービル   Country:Japan  

  • Sputter epitaxy of (ZnO)x(InN)1-x films on sapphire substrates using ZnON buffer layers

    Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022.11 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • TEOS-PECVD における SiO2成膜における AM放電の効果

    山本祐馬, 長尾伊織, 大高真寛, 山本晃大, 鎌滝晋礼, 古閑一憲, 白谷正治

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022.11 

     More details

    Event date: 2022.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • 二周波重畳容量結合並行平板放電の PIC-MCC シミュレーション:駆動周波数の効果

    有馬聡明, 頼建勲, 大高真寛, 池田築, 長尾伊織, 鎌滝晋礼, 山下大輔, 山下尚人, 板垣奈穂, 奥村賢直, 古閑一憲, 白谷正治

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022.11 

     More details

    Event date: 2022.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • Sputtering deposition of ZnO:Al Transparent Conducting Films on Seed Layers Crystallized via Thermal Annealing of Amorphous ZnON Films

    Z. Shen, H. Yabuta, Y. Wada, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Kiyama, N. Itagaki

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022.11 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions International conference

    I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    DPS2022  2022.11 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪国際会議場   Country:Japan  

  • Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics International conference

    T. Anan, T. Nakao, T. Okumura, P. Attri, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori

    DPS2022  2022.11 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪国際会議場   Country:Japan  

  • Enhancing output power of polymer electrolytes DSSCs with V-shape low­concentrated light systems

    T. E. Putri, F. L. Chawarambwa, P. Attri, K. Kamataki, N. ltagaki, K. Koga, M. Shiratani

    PVSEC-33  2022.11 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  • ダストプラズマの異常輸送実験とその量子コンピューティング

    白谷正治

    第38回 九州・山口プラズマ研究会  2022.11 

     More details

    Event date: 2022.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:指宿市白水館   Country:Japan  

  • A Plasma Enhanced CVD Technology for Solving Issues on Sidewall Deposition in Trenches and Holes International conference

    M. Shiratani

    iMPACT2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei Nangang Exhibition Center台湾・台北   Country:Taiwan, Province of China  

  • Study of electric field measurements in Ar plasmas using an optically trapped fine particle International conference

    T.Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N.Yamashita, N. Itagaki, K. Koga, M. Shiratani

    AAPPS-DPP 2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • The role of post-annealing treatment on ZnO:Co structure and magnetic transition grown by sputtering deposition International conference

    A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani

    ICIEE 2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Indonesia,Yogyakarta・オンライン   Country:Indonesia  

  • Plasma irradiation-introduced RONS amount into plant seeds and their response analysis International conference

    T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Reproducibility in plasma agriculture International conference

    M. Shiratani, T. Anan, T. Nakao, T. Okumura, P. Attri, K. Koga

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy International conference

    T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Plasma induced conversion of CO2 with water to useful compounds International conference

    P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode International conference

    M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation International conference

    S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas International conference

    M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth International conference

    K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(Invited) Invited International conference

    K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Relationship between vibrational temperature and CO2 methanation with plasma catalysis International conference

    S. Toko, T. Hasegawa, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model International conference

    I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • 固相結晶化シード層上へのZnO:Al透明導電膜のスパッタリング成膜:固相結晶化温度の影響

    沈志遠, 薮田久人, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • 休眠状態を考慮したレタス種子発芽へのプラズマ照射効果

    奥村賢直, 阿南輝樹, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • モデル植物ゼニゴケの成長に対する低温プラズマ照射解析実験系の確立と初発反応の解析

    坪山祥子, 奥村賢直, 古閑一憲, 白谷正治, 朽津 和幸

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • 大気圧空気プラズマを用いた肥料への窒素固定と圃場試験

    中尾匠, 奥村賢直, パンカジアトリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • サファイア基板上の単結晶ZnO薄膜作製におけるバッファー層評価指標

    山下尚人, 中村優太, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • プラズマ誘起欠陥の発生と修復 ~水素終端Si表面に及ぼすArプラズマの効果~

    布村正太, 坂田功, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • 振幅変調放電法を用いたTEOSプラズマCVDへの効果

    山本晃大, 長尾伊織, 山本祐馬, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • プラズマ触媒作用を用いたCO2メタネーションのためのゼロ次元シミュレーション

    都甲将, 長谷川大樹, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原 裕一

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • Role of plasma treatment on radish seeds affects germination, morphology, and biochemical responses

    P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(2)

    鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • 異なるMg組成を有する単結晶Zn­­1-xMgxO膜成長におけるZnONバッファー層の効果

    矢高功太郎, 高橋大智, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • 格子不整合サファイア基板上への単結晶ZnO膜のスパッタリング成膜: ZnONバッファー層の効果

    三石遼, 矢高功太郎, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • スパッタエピタキシー法による(ZnO)x(InN)1-x単結晶薄膜の室温成膜

    成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022.9 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • Impact of amplitude modulated discharge on suppression of nanoparticle growth in TEOS/O2/Ar capacitively coupled plasma International conference

    K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    IVC-22  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:札幌コンベンションセンター   Country:Japan  

  • Eff ects of Modifi cation of Film Interface by Nanoparticles on Mechanical Properties of Films International conference

    S. Ono, S. H. Hwang, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Effects of surface polarity of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated at room temperature International conference

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    9th International Symposium on Control of Semiconductor Interfaces  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Control of Crystal Structure and Magnetic Properties of ZnO: Co Films Grown by Magnetron Sputtering Using Nitrogen International conference

    A. M. Nurut, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    9th International Symposium on Control of Semiconductor Interfaces  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Fabrication of Low-Resistive Amorphous In2O3 :Sn Films at 600°C by Magnetron Sputtering Using Nitrogen International conference

    N. Itagaki, Y. Mido, Z. Shen, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Eff ects of Amplitude Modulated RF Discharge on Properties of SiO2 Films Deposited by TEOS/O2/Ar Plasma-Enhanced Chemical Vapor Deposition International conference

    K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022.9 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Particle Fluxes to Seeds Irradiated from Scalable DBD Plasma International conference

    M. Shiratani, H. Tanaka, T. Anan, T. Nakao, Y. Tagawa, T. Okumura, P. Attri, K. Koga

    ISNTP-12&ISEHD 2022  2022.8 

     More details

    Event date: 2022.8 - 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:小樽経済センター   Country:Japan  

  • Magnetic properties of Co-doped ZnO films fabricated by sputtering using mediated crystallization. International conference

    A. M. Nurut, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, N. Itagaki, M. Shiratani

    IUMRS-ICYRAM 2022  2022.8 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Stability of a quasi-solid DSSC under low-concentrated light soaking International conference

    F. L. Chawarambwa, T. E. Putri, A. Pankaj, M. Shiratani

    IUMRS-ICYRAM 2022  2022.8 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds International conference

    A. Pankaj, T. Okumura, F. L. Chawarambwa, T. E. Putri, N. Takeuti, K. Koga, K. Ishikawa, K. Kamataki, M. Shiratani

    IUMRS-ICYRAM 2022  2022.8 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Thermoplastic Polymer Electrolytes for DSSCs Performance Under V-Shape Low-Concentrated Light International conference

    T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022.8 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Spatio-temporal structures of plasma density in lower driving rf frequency plasmas studied by particle-in-cell/Monte Carlo collision method International conference

    T. Arima, M.Otaka, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022.8 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Time resolved optical emission spectroscopy in Ar/Ne capacitively coupled radio frequency plasma International conference

    M. Otaka, D. Nagamatsu1, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022.8 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Ion energy and angular distribution functions in amplitude modulated capacitively coupled Ar discharge plasma obtained using particle-in-cell Monte Carlo collision model simulation International conference

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022.8 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年講堂   Country:Japan  

  • Increase of Blocking Temperature in Co-doped ZnO by Using NitrogenMediated Crystallization International conference

    N. Yamashita, A. Agusutrisno, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    ICMFS-2022  2022.7 

     More details

    Event date: 2022.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:OIST   Country:Japan  

  • TEOSプラズマSiO2成膜に及ぼす振幅変調放電の効果

    山本晃大、長尾伊織、山本祐馬、大高真寛、山下大輔、鎌滝晋礼、奥村賢直、山下尚人、板垣奈穂、古閑一憲、白谷正治

    2022年度日本表面真空学会 九州支部学術講演会  2022.6 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • 大気圧空気プラズマで生成した硝酸態窒素の水表面への輸送評価

    中尾匠、奥村賢直、P. Attri、古閑一憲、山下大輔、鎌滝晋礼、山下尚人、板垣奈穂、白谷正治

    2022年度日本表面真空学会 九州支部学術講演会  2022.6 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • 逆Stranski-Krastanovモードによる単結晶ZnO薄膜のスパッタリング成膜:窒素流量の影響

    三石遼, 山下尚人, 矢高功太郎, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    2022年度日本表面真空学会 九州支部学術講演会  2022.6 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • Development of Measurement of Two-Dimensional Distribution of Strength of Electrical Field with High Spatial Resolution Using Optical Trapped Particle in Plasma International conference

    K. Kamataki, S. Okunaga,T. Sato,K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Key parameters for single crystalline ZnO film growth by magnetron sputtering via inverted Stranski-Krastanov mode International conference

    N. Yamashita, Y. Nakamura, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Growth of Nanoparticles in TEOS rf Plasma with Amplitude Modulation International conference

    A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M.Shiratani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering International conference

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N.Itagaki

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Quantitative Evaluation through LC-QqQ MS/MS for RONS Induced into Dry Seeds by Non-Thermal Plasma Irradiation International conference

    T. Okumura , K. Koga , P. Attri , K. Kamataki , N. Yamashita , N. Itagaki, M. Shiratani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition International conference

    S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • Amplitude Modulation Frequency Dependence of Ion Energy Distribution in Capacitively Coupled Discharge Plasma Studied by Particle-in-Cell/Monte Carlo Collision Method International conference

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma International conference

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • Effects of rf Frequency on Plasma Density in Capacitively Coupled Plasmas at Low Pressure Studied by Particle-in-Cell/Monte Carlo Collision Method International conference

    T. Arima , T. Yang, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • Time Resolved Optical Emission Spectroscopy in Ar and Ar/Ne Capacitively Coupled Radio Frequency Plasma International conference

    M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition International conference

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, N. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • Epitaxial Growth of Single-Crystalline ZnO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode by Low-Power Magnetron Sputtering International conference

    R. Mitsuishi, N. Yamashita, D.Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS spring meeting 2022  2022.5 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ホノルル  

  • 3次元デバイス製造のためのプラズマプロセスとレーザプロセス

    白谷正治

    半導体3D実装材料プロセス・インフォマティクス研究会第一回研究会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 任意電圧波形励起PECVDによるa-C:H成膜における希ガスの効果の検証

    永松 大樹, 有馬 聡明, 大高 真寛, 山下 大輔, 鎌滝 晋礼, 古閑 一憲, 白谷 正治, 大友 洋, 進藤 崇央, 田中 諭志, 松戸 龍夫

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測

    鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人,奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • プラズマ CVD 法を用いた a-C:H 薄膜製膜特性に対するガス圧力・基板位置の効果

    小野晋次郎, 吉川大智, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 呉準席, 鷹林将, 中谷達行

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • CNP 層を挿入した a-C:H 膜の応力低減に対する CNP 被覆率の効果

    吉川大智, 小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 鷹林将,呉準席, 中谷達行

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • プラズマ触媒作用を用いたCO2メタネーションにおける振動回転励起CO分子の役割

    都甲 将, 出口 雅志, 長谷川 大樹, 奥村 賢直, 鎌滝 晋礼, 竹中 弘祐, 古閑 一憲, 白谷 正治, 節原 裕一

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • 植物へのプラズマ照射効果解明に向けたゼニゴケ実験系の確立

    古閑一憲, 坪山祥子,田川雄大, 中尾匠,田中颯,阿南輝樹,奥村賢直 ,P. Attri,鎌滝晋礼,山下尚人 ,板垣奈穂 ,白谷正治 ,朽津和幸

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • 任意電圧波形励起 PECVD による a-C:H 成膜における希ガスの効果の検証

    永松大樹, 有馬聡明, 大高真寛, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 大友洋, 進藤崇央, 田中諭志, 松土龍夫

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • パルス放電プラズマを用いたCO2メタン化に対する放電休止時間の効果

    長谷川大樹,出口 雅史,山下大輔,都甲将,鎌滝晋礼,古閑一憲, 白谷正治

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • 調湿レタス種子のラジカル量に与えるプラズマ照射の効果

    奥村賢直,阿南輝樹,パンカジアタリ, 古閑一憲, 鎌滝晋礼,山下尚人, 板垣奈穂, 白谷正治, 石橋勇

    第69回応用物理学会春季学術講演会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • インジウム・スズ酸化物ナノ粒子の皮下および気管内投与による生体影響の比較

    田中昭代, 松村渚, 田中佑樹, 小椋康光, 古閑一憲, 白谷正治, 長野嘉介

    第92回日本衛生学会学術総会  2022.3 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学・オンライン   Country:Japan  

  • プラズマCVDを用いて堆積した薄膜のナノ構造化による機械的特性制御

    古閑一憲,黄成和,小野晋次郎,吉川大智, 奥村賢直,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治

    日本物理学会 第77回年次大会(2022年)  2022.3 

     More details

    Event date: 2022.3 - 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Deposition of Carbon Nanoparticles of 5 nm in Size on Positively Biased Substrates using Multi-Hollow Discharge Plasma CVD Method International conference

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ISPlasma2022/IC-PLANTS2022  2022.3 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds International conference

    H. Tanaka, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Itagaki, M. Shiratani, Y. Ishibashi, M. Nakano, K. Namiki, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, K. Koga

    ISPlasma2022/IC-PLANTS2022  2022.3 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Development of DUV laser material processing for semiconductor device International conference

    H. Ikenoue, D. Nakamura, K. Katayama, A. Mizutani, H. Mizoguchi, M. Shiratani

    Laser Applications in Microelectronic and Optoelectronic Manufacturing (LAMOM) XXVII  2022.2 

     More details

    Event date: 2022.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンデマンド  

  • Progress of DUV・EUV Light Source and its Extension to Leading Edge Semiconductor Manufacturing

    H. Mizoguchi, H. Nakarai, K. Kakizaki, H. Ikenoue, M. Shiratani

    第8回グローバルプラズマフォーラム「半導体プロセス技術最前線」  2022.2 

     More details

    Event date: 2022.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ触媒を用いたCO2メタン化のためのプラズマシミュレーシンョン

    都甲将,出口雅志, 長谷川大樹, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲,白谷正治, 節原裕一

    SPP-39/SPSM34  2022.1 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ照射肥料を用いて栽培した植物の成長解析

    奥村 賢直, アタリバンカジ,中尾匠, 田中颯, 鎌滝晋礼, 山下尚人, 古閑ー憲, 板垣奈穂, 白谷正治, 竹内希

    SPP-39/SPSM34  2022.1 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ照射による酸化還元反応に対する種子内水分量の効果

    阿南 輝樹, 田中颯,奥村賢直, アタリパンカジ, 中尾匠, 鎌滝晋礼,山下尚人, 板垣奈穂,古閑ー憲, 白谷 正治

    SPP-39/SPSM34  2022.1 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • AM変調放電を圧いたTEOS プラズマにおけるナノ粒子成長とプラズマ生成の関係についての研究

    鎌滝晋礼, 阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 奥村賢直, 板垣奈穂, 古閑ー憲, 白谷正治

    SPP-39/SPSM34  2022.1 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 異なる状態の混合粉末ターゲットによるAlドープ酸化亜鉛薄膜のスパッタリング堆積

    大島多美子, 日比野祐介,猪原武士,柳生義人, 佐竹卓彦, 川崎 仁晴, 青木 振一, 板垣奈穂, 古閑一憲, 白谷正治

    SPP-39/SPSM34  2022.1 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 光ピンセット技術を用いたプラズマ中微粒子への作用力の絶対値校正

    佐藤斗真, 奥永冴京, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Solid-phase Crystallization of Sputter-deposited ZnON Films and Their Impacts as Seed Layers for ZnO:Al Transparent Conducting Oxides

    Z. Shen, N. Yamashita, Y. Mido, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 逆 Stranski-Krastanov モードを利用した単結晶 ZnMgO 薄膜のスパッタリング成膜

    矢髙功太郎, 山下尚人, 髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 平行平板容量結合高周波放電プラズマの AM 変調周波数依存性に関する PICMCC シミュレーション

    長尾伊織, 阿部滉平, 山本晃大, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • PIC-MCCM を用いた平行平板容量結合プラズマに対する放電周波数依存性に関する研究

    陶陽, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 平行平板容量結合高周波プラズマ発光の時空間分解計測

    大高真寛, 有馬聡明, 永松大樹, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • N2/SiH4 flow rate ratio dependence of nanoparticle incorporation in SiNx films deposited by plasma CVD International conference

    M. Shiratani, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Kinetic study of effects of discharge power on CO2 methanation using a plasma catalyst International conference

    T. Hasegawa, M. Ideguchi, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effect of rf bias on the film property of amorphous silicon oxide films deposited by plasma enhanced chemical vapor deposition International conference

    A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Structural Analysis of Hydrogenated Amorphous Carbon Films Deposited by Capacitively Coupled Plasma Chemical Vapor Deposition International conference

    S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effects of pulse rf discharge on carbon dioxide methanation in plasma catalytic method International conference

    M. Ideguchi, T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Green route for ammonium nitrate synthesis: Fertilizer for plant growth enhancement International conference

    P. Attri, K. Koga, T. Okumura, N. Takeuchi, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effect of intraseed moisture and plasma irradiation on lettuce seed surface International conference

    T. Okumura, T. Anan, H. Tanaka, D. Yamashita, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Y. Fujimoto, M. Kumauchi, H. Matsui

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Ion Energy Distribution Function in DC Pulse biased Capacitively Coupled Plasma Discharge by using Particle-In-Cell/Monte Carlo Collision Model International conference

    K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Investigation of 2D electric field measurements in Ar plasmas using a fine particle trapped with laser tweezers International conference

    K. Kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Study of Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma International conference

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Calibration of force acting on an optically trapped particle in Ar plasma International conference

    S. Okunaga, T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N.Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Structural analysis of carbon nanoparticle composite films prepared by Ar+CH4 multi-hollow plasma chemical vapor deposition International conference

    S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Measurements of Radial and Vertical Electric Field in Capacitively Coupled Plasma International conference

    Y.Tao, D. Nagamatsu, K. Kamataki, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Possible plasma oxidation effect on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2): A computational study International conference

    K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, P. Attri

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Developing Prediction of Key Process Parameters of Plasma CVD for Fabricating a-Si:H Solar Cells through Boosting Technique International conference

    F. Chen, K. Kamataki, Y. Tao, S. Okunaga, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2021 (MRM2021)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Improved luminescence performance of Yb3+-Er3+-Zn2+: Y2O3 nanophosphor and its application to Solar Cells

    L. F. Chawarambwa, K. Koga, K. Kamataki, M. Shiratani

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Plasma treatment causes structural modifications in proteins, result in increased cytotoxicity towards cancer cells

    P.Attri, K. Koga, T. Okumura, M. Shiratani

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • ポッケルスセルを用いたスケーラブル誘電体バリア放電により生じる電界の測定

    田川雄大, 奥村賢直, 鎌滝晋礼, A. Pankaj, 山下大輔, 古閑一憲, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • スケーラブル誘電体バリア放電による対象物への活性酸素窒素種暴露量の評価

    中尾匠, 阿南輝樹, 田中颯, 奥村賢直, 山下大輔, A. Pankaj, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 水素と触媒を用いない低圧窒素プラズマを用いた窒素肥料作製

    古閑一憲, アタリ パンカジ, 奥村賢直, 竹内希, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 電子常磁性共鳴法を用いたレタス種子内ラジカル量のプラズマ照射時間依存性

    阿南輝樹, 田中颯, 奥村賢直, A. Pankaj, 山下大輔, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 石橋勇志, 白谷正治

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • イネ種子へのプラズマ照射がDNAメチル化レベルに及ぼす影響

    田中颯, 阿南輝樹, 奥村賢直, A. Pankaj, 古閑一憲, 鎌滝晋礼, 板垣奈穂, S. Chetphilin, 石橋勇志, 白谷正治

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ照射された植物種子に関する物理的、化学的および分子生物学的研究 Invited

    奥村賢直, アトリ パンカジ,石橋勇志, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021.12 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Investigation of Growth Suppression Mechanism of Nanoparticles through Amplitude Modulation Discharge Method in TEOS Plasma International conference

    K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Taiwan, Province of China  

  • Oxidation of Catalase by Plasma Treatment: A Probable Mechanism of Cancer Treatment International conference

    P. Attri, T. Okumura, K. Koga, M. Shiratani

    the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Taiwan, Province of China  

  • Flowing Electrolyte System of Bifacial Dye-Sensitized Solar Cells Under Low-Concentrated Light International conference

    T. E. Putri, L. F. Chawarambwa, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    MRS fall meeting 2021  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • High-temperature Growth of Amorphous In O :Sn Films by Magnetron Sputtering using Nitrogen International conference

    Y. Mido, K. Takeda, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, M. Hori, N. Itagaki

    MRS fall meeting 2021  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering International conference

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    MRS fall meeting 2021  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Inverted Stranski-Krastanov Growth of Single-Crystalline Zn Mg O Films on Sapphire Substrates using Magnetron Sputtering International conference

    D. Takahashi, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS fall meeting 2021  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Effects of Plasma Irradiation to Moistened Seeds on Radical Amount International conference

    T. Anan, H. Tanaka,T. Okumura, P. Attri,D. Yamashita,K. Kamataki,K. Koga, N. Itagaki, M. Shiratani, Y.Ishibashi

    The 6th Asian Applied Physics Conference (Asian-APC)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Deposition of a-C:H films using tailored voltage waveforms in plasma enhanced CVD International conference

    D. Nagamatsu, T. Arima, M. Otaka, H. Otomo, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo

    The 6th Asian Applied Physics Conference (Asian-APC)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of RF power International conference

    R. Mitsuishi, D. Takahashi, D. Yamashita, T. Okumura, N. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 6th Asian Applied Physics Conference (Asian-APC)  2021.12 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • レーザープロセスを用いたIV族系半導体の結晶成長とドーピング

    佐道泰造、片山慶太、池上浩、白谷正治

    応物シリコンテクノロジー分科会第231回研究集会  2021.11 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 種子に導入された大気圧空気プラズマ起因活性種の高感度微量定量測定法の創成

    奥村賢直,パンカジアトリ,古閑一憲,鎌滝晋礼,板垣奈穂,白谷正治

    プラズマ・核融合学会 第38回年会  2021.11 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 植物照射用スケーラブル誘電体バリア放電の生成粒子の計測

    古閑一憲,田川雄大,中尾匠,阿南輝樹,田中颯,奥村賢直,P. Attri,鎌滝晋礼,板垣奈穂,白谷正治,坪山祥子,橋本研志,朽津和幸

    プラズマ・核融合学会 第38回年会  2021.11 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Better step coverage of TEOS-PECVD SiO2 films realized by amplitude modulation of RF discharge voltage International conference

    K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, S. Tahara, Y. Mizokami, Y. Miyata, K. Tabuchi, T. Tanikuni, S. Hiyama, K. Nagahata

    42nd International Symposium on Dry Process (DPS2021)  2021.11 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Reduction of compressive stress of hydrogenated amorphous carbon films by inserting carbon nanoparticle layer using plasma CVD International conference

    S. H. Hwang, S. Ono, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, J.-S. Oh, S. Takabayashi, T. Nakatani

    42nd International Symposium on Dry Process (DPS2021)  2021.11 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Study of effect of amplitude modulated discharge on growth of nanoparticles in TEOS plasma International conference

    M. Shiratani, K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga

    42nd International Symposium on Dry Process (DPS2021)  2021.11 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Energy utilization efficiency in CO2 methanation with plasma catalysis International conference

    S. Toko, M. Ideguchi, T. Hasegawa, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara

    42nd International Symposium on Dry Process (DPS2021)  2021.11 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Restoring germination rate of heat-stressed seeds by low temperature plasma treatment International conference

    M. Shiratani, K. Koga

    Japan-RUB Workshop  2021.12 

     More details

    Event date: 2021.10 - 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Low-stress diamond-like carbon films containing carbon nanoparticles produced by combining rf sputtering and plasma chemical vapor deposition International conference

    S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    Japan-RUB Workshop  2021.11 

     More details

    Event date: 2021.10 - 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Molecular Analysis of Plasma-Induced Germination Improvement of Rice Seeds With High-Temperature Stress Damage International conference

    K. Koga, Y. Ishibashi, C. Suriyasak, T. Okumura, H. Tanaka, P. Attri, K. Matsuo, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani

    AVS67  2021.10 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Transport of Nanoparticles in Afterglow Region Using Multi-Hollow Discharge Plasma CVD International conference

    K. Koga, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani

    74th Annual Gaseous Electronics Conference  2021.10 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Effect of plasma treatment on MDM2 and p53 expression in cancer cells International conference

    P. Attri,H. Kurita, T. Okumura, K. Koga, M. Shiratani

    5th Asia-Pacific Conference on Plasma Physics  2021.9 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Study on measurement of strength and fluctuation of electrical field using optical trapped particle in Ar plasma International conference

    K. kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    5th Asia-Pacific Conference on Plasma Physics  2021.9 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Suppression of nanoparticle growth in TEOS plasma by amplitude modulation discharge method International conference

    K. Abe, A.Yamamoto, I.Nagao, M.Otaka, D.Yamashita, K.Kamataki, T.Okumura, N.Itagaki, K.Koga, M.Shiratani

    5th Asia-Pacific Conference on Plasma Physics  2021.9 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • スケーラブル誘電体バリア放電プラズマで発生した活性種量評価

    中尾匠, 阿南輝樹, 田中颯, 奥村賢直, P. Attri, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治

    第74回電気・情報関係学会九州支部連合大会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • スケーラブル誘電体バリア放電プラズマの特性評価

    田川雄大, 奥村賢直, 鎌滝晋礼, P. Attri, 古閑一憲, 板垣奈穂, 白谷正治

    第74回電気・情報関係学会九州支部連合大会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • インジウム・スズ酸化物ナノ粒子の経気道性曝露による生体影響

    田中昭代, 松村渚, 田中佑樹, 小椋康光, 古閑一憲, 白谷正治, 長野嘉介

    第62回大気環境学会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 2D electric field measurements in Ar plasmas using a fine particle trapped with optical tweezers International conference

    S. Okunaga, K. Kamataki, K. Tomita, Y. Pan, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Plathinium 2021  2021.9 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:France  

  • Relation between CH4 yield and CO emission intensity gradient in plasma-assisted CO2 methanation International conference

    M. Ideguchi, T. Hasegawa, K. Kamataki, S. Toko, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Plathinium 2021  2021.9 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:France  

  • Epitaxial growth of (ZnO)X(InN)1-X films by magnetron sputtering: effects of surface polarity of ZnO substrates International conference

    R. Narishige, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    Plathinium 2021  2021.9 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:France  

  • 窒素添加スパッタ法による高移動度アモルファスIn2O3:Sn薄膜の高温成膜

    御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ触媒反応において触媒が二酸化炭素リサイクリングのエネルギーコストに与える影響

    都甲将, 出口雅志, 長谷川大樹, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマCVDによるi/n a-Si:H/SiN反射防止パッシベーション膜の特性

    布村正太, 坂田功, 佐藤愛子, ロザック ミカエ, 三沢達也, 板垣奈穂, 白谷正治

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 振幅変調放電プラズマ中の光捕捉微粒子の挙動に関する研究

    鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, Pan Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Ar+CH4平行平板型プラズマCVDで作製したカーボンナノ粒子サイズに対するガス圧力の効果

    古閑一憲, 黄成和, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 鷹林将, 呉準席, 中谷達行, 白谷正治

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 液体クロマトグラフ-トリプル四重極質量分析計を用いたプラズマ照射種子内植物ホルモンの定量分析

    奥村賢直, アトリ パンカジ, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Cold Plasma induced structural modification of NADPH oxidase activator (Noxa 1) by oxidative stress

    P. Attri, T. Okumura. K. Koga, M. Shiratani

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • マグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表面モフォロジーに及ぼす基板極性の影響

    成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣 奈穂

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • ZnONバッファー層を用いたサファイア基板上への単結晶Zn1-xMgxO薄膜の成長

    高橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第82回応用物理学会秋季学術講演会  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effects of Carbon Nanoparticles Inserted between Two Diamond Like Carbon Layers Films on Residual Stress of Films Deposited by Plasma Chemical Vapor Deposition International conference

    S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    INTERFINISH 2020  2021.9 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Production of Hollow Carbon Nanoparticles using Multi-Hollow Discharge Plasma CVD Invited International conference

    K. Koga, S. H. Hwang, T. Okumura, P. Attri, K. Kamataki, N. Itagaki, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani

    INTERFINISH 2020  2021.9 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 容量結合型プラズマ化学気相堆積法を用いて製膜された水素化アモルファスカーボン膜(a-C:H)の構造解析

    小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第15回プラズマエレクトロニクスインキュベーションホール  2021.9 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Comparative study on the decontamination of water using non-thermal atmospheric pressure plasma and gamma irradiation Invited International conference

    P.Attri, T. Okumura, K. Koga, M. Shiratani

    APA Bioforum2021: Polymeric Biomaterials & Bioengineering  2021.8 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:India  

  • Influence of Cold Atmospheric Plasma on NADPH Oxidase 1 (NOX1) Enzyme and Membrane Protein Structures: A Combined Experimental and Computational Study International conference

    P. Attri, K. Koga, A. Bogaerts, W. Lee, M. Shiratani

    8th International Conference on Plasma Medicine(icpm)  2021.8 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • スパッタ法によるサファイア基板上への原子平坦なZnMgO薄膜の作製

    髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和3年度日本表面真空学会九州支部学術講演会  2021.6 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • レタス種子表面状態に対する種子内水分およびプラズマ照射の影響

    阿南輝樹, 田中颯, 山下大輔, 奥村賢直, Pankaj Attri, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享

    令和3年度日本表面真空学会九州支部学術講演会  2021.6 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Particle-In-Cell モンテカルロ衝突モデルによる容量結合型Arプラズマにおける下部バイアス電圧印加シミュレーション

    阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和3年度日本表面真空学会九州支部学術講演会  2021.6 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 容量結合プラズマ触媒法を用いたサバティエ反応プラズマパラメータがCO2変換率に与える影響

    長谷川大樹, 出口雅志, 山下大輔, 都甲将, 鎌滝晋礼, 古閑一憲, 白谷正治

    令和3年度日本表面真空学会九州支部学術講演会  2021.6 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 窒素添加スパッタ法による高移動度アモルファス In2 O3 :Sn 薄膜の作製

    御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和3年度日本表面真空学会九州支部学術講演会  2021.6 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • (ZnO)x (InN)1-x 膜のスパッタエピタキシーに及ぼす基板表面極性の影響

    成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    令和3年度日本表面真空学会九州支部学術講演会  2021.6 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Structure control ofa:C-H film nanocomposite using a combination of rf sputtering and plasma CVD Invited International conference

    K. Koga, S. H. Hwang, K. Kamataki, P. Attri, N. Itagaki, M. Shiratani

    Thermec2021  2021.6 

     More details

    Event date: 2021.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Austria  

  • High Throughput Deposition of Hydrogenated Amorphous Carbon Films using High-Pressure Ar+CH4 Plasmas International conference

    K.Koga‚ S. H. Hwang‚ K. Kamataki‚ N. Itagaki‚ M. Shiratani

    ICMCTF2021  2021.4 

     More details

    Event date: 2021.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Arプラズマ中の光捕捉微粒子への作用力の研究

    鎌滝晋礼,奥永冴京, 岩本 亮介, 富田 健太郎, P.Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷 正治

    第68回応用物理学会春季学術講演会  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • マグネトロンスパッタリング法による(ZnO)x(InN)1-x膜のエピタキシャル成長:基板の面極性の影響

    成重椋太, 金島健太郎, 浦川 聖一, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • ナノ粒子取り込みによる SiNx の低温プラズマ CVD 製膜

    佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 任意波形励起プラズマ CVD 法による a-C:H 膜質の制御

    岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫

    応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマを用いてカーボンナノ粒子層を含むミルフィーユ型 a-C:H 膜の機械的特性

    古閑一憲, 黄成和, Y.Hao, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治

    第68回応用物理学会春季学術講演会  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • かいわれ大根種子の発芽と発芽の成長に対する大気圧プラズマ照射の影響-種子の色と貯蔵の効果

    奥村賢直, アトリパンカジ, 石川健治, 古閑一憲, 白谷正治, ヴィダミルダズィネ

    第68回応用物理学会春季学術講演会  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマCVD中飛行時間によるカーボンナノ粒子サイズ制御

    古閑一憲, S. H. Hwang, Y. Hao, P. Attir, 奥村賢直,鎌滝晋礼,板垣奈穂, 白谷正治

    日本物理学会第76回年次大会  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Electric Field Measurements in Ar plasmas using a Fine Particle Trapped with Optical Tweezers International conference

    S. Okunaga, K. Kamataki, K. Tomita, P. Yimin, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ISPlasma2021/IC-PLANTS2021  2021.3 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 調湿レタス種子の発芽に及ぼす大気圧プラズマ照射の影響

    奥村 賢直, 阿南 輝樹, 田中 颯, 有田 涼, 山下 大輔, アトリ パンカジ, 鎌滝 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 藤本 祉史, 熊内 雅人, 松井 英享, 石橋 勇志

    SPP-38/SPSM33  2021.1 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effects of Concentrated Light on the Performance and Stability of Quasi-Solid Electrolytes in Dye-Sensitized Solar Cells International conference

    F. L. Chawarambwa, P. Attri, K. Koga, M. Shiratani

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • Effects of surface polarity of ZnO substrates on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films International conference

    R. Narishige, K. Kaneshima, S. Urakawa, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen mediate amorphization method: Effects of nitrogen flow rate International conference

    Y. Mido, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • Structural and Optical Properties of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy International conference

    D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • Impact of surface morphologies of 3D island layers on the single crystal growth of magnetron sputtered ZnO films International conference

    Y. Nakamura, M. Kikuchi, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagak

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • Growth of high-quality (ZnO)x(InN)1-x films by RF magnetron sputtering using a two-step growth process International conference

    K. Kaneshima, S. Urakawa, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • Sputter deposition of ZnAlO films with tunable bandgaps from 3.4 to 6.1 eV International conference

    S. Urakawa, K. Kaneshima, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021.1 

     More details

    Event date: 2021.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Korea, Republic of  

  • Low temperature fabrication of SiO2 films using capacitively coupled TEOS plasma International conference

    Y. Sasaki, T. Yoshida, K. Abe, K. Yamamoto, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    The 21st Workshop on Fine Particle Plasmas  2020.12 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Study on Film Deposition Process using Spatial Profiles of Plasma Parameters and Nanoparticles in Reactive Plasma International conference

    K. Kamataki, T. Yoshida, Y. Sasaki, K. Abe, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 21st Workshop on Fine Particle Plasmas  2020.12 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • ITO薄膜のスパッタ製膜プロセスへの機械学習の適用

    陳飛宇, 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • PIC-MCCMを用いたCCPにおけるIEDに関する研究

    阿部滉平, 陶陽, 岩本亮介, 佐々木勇輔, 吉田知晃, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • レタス種子の発芽特性に及ぼす調湿・プラズマ照射時間依存性

    阿南輝樹, 田中颯, 有田涼, 山下大輔, 奥村賢直, アトリ パンカジ, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • アルゴンプラズマ中光捕捉微粒子への作用力の校正

    奥永 冴京, 鎌滝 晋礼, 富田 健太郎, Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治,

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • PECVD における任意電圧波形を用いた a-C:H 成膜

    永松大樹, 岩本亮介, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • CCPにおける径方向電場Eyの計測の試み

    陶陽, 岩本亮介, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • スパッタエピタキシー法による(ZnO)X(InN)1-X膜の作製: 高温バッファー層の効果

    寺澤寛, 金島健太郎, 成重椋太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Ar/N2スパッタリングによるサファイア基板上へのZnO単結晶成長:O-poorバッファー層の効果

    松本翔剛, 髙橋大智, 中村優太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Ar+CH4 マルチホロー放電プラズマCVDで作製したカーボンナノ粒子のフラックスに対する熱泳動力の効果

    郝源, 𠮷川大智, 黄成和, 古閑一憲, 白谷正治, 鎌滝晋礼, 板垣奈穂, 奥村賢直

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ CVD におけるナノ粒子成長とプラズマ生成の関係

    吉田知晃, 阿部滉平, 佐々木勇輔, 山本晃大, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • TEOSプラズマCVDを用いたSiO2膜の形成:基板バイアス電圧依存性

    山本晃大, 吉田智晃, 阿部滉平, 佐々木勇輔, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 容量結合プラズマCVD法によるSiO2膜の低温製膜

    佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 容量結合プラズマ触媒法によるサバティエ反応:圧力依存性

    長谷川大樹, 出口雅志, 都甲将, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Impact of Plasma Treatment on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2) International conference

    P. Attri, K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani

    第30回日本MRS年次大会  2020.12 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Experimental identification of key species for ROS transportation in the depth direction by plasma irradiation International conference

    T. Kawasaki, K. Koga, M. Shiratani

    第30回日本MRS年次大会  2020.12 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Nitric Acid Generation by Pulsed Needle-water Discharge Plasma International conference

    H. Tanaka, R. Kogawa, Y. Oba, M. Fujita, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kamataki, N. Itagaki

    第30回日本MRS年次大会  2020.12 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Impact of Plasma Treatment Time on the Barley Seeds using Electron Paramagnetic Resonance International conference

    K. Koga, P. Attri, T. Anan, R. Arita, H. Tanaka, T. Okumura, D. Yamashita, K. Matsuo, K. Kanataki, N. Itagaki, M. Shiratani, Y. Ishibashi

    第30回日本MRS年次大会  2020.12 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Growth of Rice Cultivated in Field from Plasma-irradiated Seeds and Its Health Assessment for rats International conference

    T. Okumura, H. Tanaka, R. Arita, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    第30回日本MRS年次大会  2020.12 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ触媒法を用いたCO2のCH4化反応におけるプラズマ発光強度の空間分布

    出口雅志, 山下大輔, 都甲将, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第37回 プラズマ・核融合学会 年会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマによる生体荷電制御科学の進展(総合討論)

    白谷正治

    第37回 プラズマ・核融合学会 年会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマCVDにおけるナノ粒子成長とプラズマ生成の関係

    白谷正治, 古閑一憲, 鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平

    第37回 プラズマ・核融合学会 年会  2020.12 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Sputter deposition of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature International conference

    Y. Midou, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020.11 

     More details

    Event date: 2020.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ触媒メタン化におけるメタン収率とプラズマ発光強度空間分布の関係

    出口雅志,長谷川 大樹,山下 大輔,鎌滝 晋礼,板垣 奈穂,古閑 一憲,白谷 正治,都甲 将,寒川 誠二

    2020年(令和2年度 )応用物理学会九州支部学術講演会  2020.11 

     More details

    Event date: 2020.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effect of Concave Concentrator and Plane Reflector Mirror to Increase Efficiency of Bifacial Dye-Sensitized Solar Cells International conference

    T. E. Putri, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020.11 

     More details

    Event date: 2020.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Effects of substrate surface polarity on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-xfilms International conference

    R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki,T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020.11 

     More details

    Event date: 2020.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Bandgap Tuning of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy International conference

    D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita,T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020.11 

     More details

    Event date: 2020.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Development of Highly Efficient and Stable Quasi-Solid Electrolytes for Dye-Sensitized solar Cells International conference

    F. L. Chawarambwa, K. Kamataki , K. Koga , M. Shiratani

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020.11 

     More details

    Event date: 2020.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • インジウム・スズ酸化物ナノ粒子曝露による臓器障害

    田中 昭代, 松村 渚, 田中 佑樹, 小椋 康光, 古閑 一憲, 白谷 正治

    生命金属に関する合同年会2020(ConMetal 2020)  2020.11 

     More details

    Event date: 2020.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Clarifying Interaction Mechanisms between Plasma and Catalysts by Using Neutral Beam International conference

    M. Shiratani, S. Toko, S. Samukawa

    Seventeenth International Conference on Flow Dynamics  2020.10 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • Information on electric field deduced using a fine particle trapped with laser tweezers in Ar plasma International conference

    K. Kamataki, S. Okunaga, K. Tomita, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    The 73rd Annual Gaseous Electronics Conference  2020.10 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • Role of seed coat color and harvest year on growth enhancement by plasma irradiation to seeds International conference

    K. Koga, P. Attri, K. Ishikawa, T. Okumura, K. Matsuo, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene

    The 73rd Annual Gaseous Electronics Conference  2020.10 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  • マルチホロー放電プラズマ CVDを用いて作製したカーボンナノ粒子輸送量に対する電極基板間距離の効果

    古閑一憲, S. H. Hwang, 奥村賢直, Y. Hao, 山下大輔, 松尾かよ, 板垣奈穂, 鎌滝晋礼, 白谷正治

    2020年度(第73回)電気・情報関係学会九州支部連合大会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ触媒反応系における触媒のCO2生成反応への影響

    都甲将, 寒川誠二, 出口雅志, 鎌滝晋礼, 古閑一憲, 白谷正治

    第81回応用物理学会秋季学術講演会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 高温障害を持つイネ種子の発芽特性へ及ぼす誘電体バリア放電プラズマ照射の影響

    奥村 賢直, 石橋 勇志, C. Suriyasak, 田中 颯, 佐藤 僚哉, 有田 涼, 廣松 真弥, 古閑 一憲, P. Attri, 松尾 かよ, 山下 大輔, 板垣 奈穂, 鎌滝 晋礼,白谷 正治

    第81回応用物理学会秋季学術講演会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 光ピンセットによる捕捉微粒子を用いたアルゴンプラズマの等電場面計測

    奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, PanYiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第81回応用物理学会秋季学術講演会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • メタンプラズマCVDを用いたホローカーボンナノ粒子のワンステップ作製

    Y. Hao, S. H. Hwang, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 中谷達行, 白谷正治

    第81回応用物理学会秋季学術講演会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマCVDを用いたa-Si:H堆積薄膜中のSi-H/Si-H2結合形成の活性化エネルギー

    古閑一憲, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治

    日本物理学会 2020年秋季大会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • 低温環境下におけるプラズマCVDによる高品質保護膜の作製

    鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平, 岩本亮介, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会 2020年秋季大会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマCVD技術文献のテキストマイニングを用いた単語のインパクトの解析

    古閑一憲, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 白谷正治, 谷口雄太, 池田大輔

    第81回応用物理学会秋季学術講演会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマ誘起欠陥の発生と修復~結晶シリコンの表面パッシベーションへの影響~

    布村正太, 坂田功 ,榊田 創, 古閑 一憲, 白谷 正治

    第81回応用物理学会秋季学術講演会  2020.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  • プラズマと薄膜のナノ界面相互作用による結合形成の活性化エネルギー評価

    古閑一憲, 原尚志, 鎌滝晋礼, 板垣奈穂, 白谷正治

    日本物理学会第75回年次大会(2020年)  2020.3 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 低温成膜における反応性プラズマ中のナノ粒子制御

    鎌滝晋礼, 吉田知晃, 阿部滉平, 佐々木勇輔, 永石翔大, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会第75回年次大会(2020年)  2020.3 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 光ピンセットによるプラズマ中捕捉微粒⼦の揺動スペクトル解析

    鎌滝晋礼, 奥永冴京, 岩本亮介, 富田健太郎, 山下⼤輔, 板垣奈穂, 古閑一憲, 白谷正治

    第67回応用物理学会春季学術講演会  2020.3 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • ⾮平衡プラズマを⽤いたサイズ制御したカーボンナノ粒⼦の連続作 製と堆積

    古閑⼀憲, 黄成和, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治

    第67回応用物理学会春季学術講演会  2020.3 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • プラズマ照射したカイワレ種⼦の吸⽔の時間推移評価

    石川健治, P. Attri, 奥村賢直, 古閑⼀憲, 有田涼, 佐藤僚哉, 田中颯, 廣松真弥, 松尾かよ, 山下大輔, 鎌滝晋礼, 板垣奈穂, 堀勝, 白谷正治

    第67回応用物理学会春季学術講演会  2020.3 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • ⾼温障害を持つイネ種⼦の発芽特性に対するプラズマ照射の効果

    古閑⼀憲, 石橋勇志, S. Chetphilin, 田中颯, 佐藤僚哉, 有田涼, 廣松真弥, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治

    第67回応用物理学会春季学術講演会  2020.3 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学   Country:Japan  

  • Effects of substrates on the epitaxial growth of (ZnO)x(InN)1-x films International conference

    R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ISPlasma2020/IC-PLANTS2020  2020.3 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Low temperature fabrication of passivation films by plasma enhanced CVD Invited International conference

    K. Kamataki, Y. Sasaki, S. Nagaishi, T. Yoshida, K. Abe, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020.1 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs) International conference

    T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020.1 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Si3N4/Carbon based PEDOT:PSS Counter Electrode for low-cost Dye-Sensitized Solar Cells International conference

    F. L. Chawarambwa, T. E. Putri, Y. Hao, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020.1 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • プラズマ照射したカイワレダイコン種子の発芽促進機構-吸水時の種子内ラジカル動態-

    有田涼, 田中颯, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019.12 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:B-ConPlaza, 別府   Country:Japan  

  • イネ種子に対するプラズマ照射効果のフィールドテスト

    田中颯, 有田涼, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019.12 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:B-ConPlaza, 別府   Country:Japan  

  • レタス幼苗重量分布に対する種子エイジングとプラズマ照射の効果

    廣松真弥, 有田涼, 田中颯, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019.12 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:B-ConPlaza, 別府   Country:Japan  

  • パルス変調プラズマ触媒法による二酸化炭素のメタン化

    出口雅志, 山本瑛久, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019.12 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:B-ConPlaza, 別府   Country:Japan  

  • 光ピンセットによる微粒子トラップを用いたアルゴンプラズマ電場の精密測定

    奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019.12 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:B-ConPlaza, 別府   Country:Japan  

  • 反応性プラズマのAM変調における発光強度分布

    阿部滉平, 吉田知晃, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019.12 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:B-ConPlaza, 別府   Country:Japan  

  • TEOS プラズマ CVD による SiO2製膜と膜質の温度勾配依存性

    吉田知晃, 阿部滉平, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019.12 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:B-ConPlaza, 別府   Country:Japan  

  • Measurements of Electrostatic Potential in RF Ar Plasma Using an Optically Trapped Fine Particle International conference

    M. Shiratani, R. Iwamoto, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga

    20th Workshop on Fine Particle Plasmas  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Fabrication of high nitriding and low hydrogen content SiN films at 100°C by controlling cluster formation in plasma International conference

    S. Nagaishi, Y. Sasaki, K. Kamataki, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    20th Workshop on Fine Particle Plasmas  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Tuning Parameters of Indium Tin Oxide Sputter Processing via Bayesian Optimization International conference

    R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    20th Workshop on Fine Particle Plasmas  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures (Invited) Invited International conference

    N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    Materials Research Meeting 2019 (MRM2019)  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Symposia   Country:Japan  

  • Investigation of Impact of Plasma Fluctuation Driven by Amplitude Modulated VHF Discharge on Growth of Nanoparticles in Reactive Plasma (Invited) Invited International conference

    K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2019 (MRM2019)  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Symposia   Country:Japan  

  • Developing Prediction of Amorphization Condition Models from Sputter Deposition Experimental Results by Machine Learning Method International conference

    K. Kamataki, R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2019 (MRM2019)  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Symposia   Country:Japan  

  • Effects of higher-order silane molecules on Si-H2 bond and Si-H bond densities in a-Si:H films International conference

    H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2019 (MRM2019)  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Symposia   Country:Japan  

  • Effects of Pulse Bias Voltage on Characteristics of a-C:H Film Deposited by High Pressure Ar+CH4 Plasma CVD Process International conference

    S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Materials Research Meeting 2019 (MRM2019)  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Symposia   Country:Japan  

  • Apparent Activation Energy of Sabatier Reaction in Low Pressure Plasma-Catalyst Gas Conversion International conference

    M. Shiratani, S. Tanida, S. Toko, A. Yamamoto, K. Koga

    Materials Research Meeting 2019 (MRM2019)  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Symposia   Country:Japan  

  • ZnO Based Semiconductors for Excitonic Devices (Invited) Invited International conference

    N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    Materials Research Meeting 2019 (MRM2019)  2019.12 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Symposia   Country:Japan  

  • 低温低圧プラズマと触媒を用いた二酸化炭素のメタン化率とCO発光強度の相関

    山本瑛久, 出口雅史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019.12 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Correlation between CO emission intensity and CO2 methanation yield using low pressure and low temperature plasma and catalyst

  • 反応性プラズマにおけるナノ粒子生成制御(招待講演) Invited

    鎌滝晋礼, 永石翔大, 佐々木勇輔, 原尚志, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019.11 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Precision control of growth of nanoparticle in reactive plasma

  • 不純物添加アモルファス化法による低抵抗アモルファスITO膜の作製: 基板温度の影響

    村岡宗一郎, 山下大輔, 鎌滝普礼, 古閑一憲, 白谷正治, 板垣奈穂

    第36回プラズマ・核融合学会年会  2019.11 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Fabrication of amorphous In2O3:Sn films with low resistivity via impurity mediated amorphization: Effects of substrates temperature

  • Sputter deposition of wide-gap amorphous ZnAlON films with tunable bandgaps from 3.4 to 4.2 eV

    S. Urakawa, K. Kaneshima, D. Yamashita, K. Kamataki, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki

    第36回プラズマ・核融合学会年会  2019.11 

     More details

    Event date: 2019.11 - 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • 気相中のクラスター生成制御による低温低水素SiN膜の作製

    永石翔大, 佐々木勇輔, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019.11 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Fabrication of low hydrogen content SiN film at low substrate temperature by control of cluster formation in reactive plasma

  • スパッタエピタキシーによるサファイア基板上への単結晶ZnO膜の成長

    中村優太, 村岡宗一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第36回プラズマ・核融合学会年会  2019.11 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Growth of single crystal ZnO films on sapphire substrates by sputter epitaxy

  • (ZnO)x(InN)1-x膜のスパッタエピタキシーにおける基板温度の影響

    金島健太郎, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第36回プラズマ・核融合学会年会  2019.11 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    The effects of Substrate temperature on (ZnO)x(InN)1-x Fabricated by Sputter Epitaxy

  • マルチホロー放電プラズマCVD法を用いて高ガス流速で製膜することによるa-Si:H膜の面内に局所的に存在する高密度Si-H2結合の抑制

    原尚志, HAO Yuan, 阿部滉平, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019.11 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Suppression of high-density region of Si-H2 in a-Si:H films by Multi-hollow discharge plasma CVD method at high gas velocity

  • ベイズ的最適化による高移動度アモルファスITO/In2O3成膜条件の探索

    岩本亮介, 鎌滝晋礼, 村岡宗一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019.12 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

    Search for deposition conditions for high mobility amorphous ITO / In2O3 by Bayesian optimization

  • Fabrication of dye sensitized solar cells with up and down conversion nano-particles International conference

    F. L. Chawarambwa, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    29th Annual Meeting of MRS-J  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Influence of co-solvents on protein folding during plasma treatment (Invited) Invited International conference

    P. Attri, A. Bogaerts, K. Koga, M. Shiratani

    29th Annual Meeting of MRS-J  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Health Effects of Rice Harvested from Plasma-Irradiated Rice Seeds Administered Orally in Mice International conference

    A. Tanaka, K. Koga, M. Shiratani

    29th Annual Meeting of MRS-J  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effect of Plasma Irradiation to Seeds of Lactuca Sativa on Histogram of Fresh Weight of Their Seedling International conference

    R. Sato, M. Hiromatsu, K. Matsuo, T. Yoshida, R. Arita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    29th Annual Meeting of MRS-J  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Substrate position dependence of characteristics of a-C:H films fabricated by Ar+CH4 plasma International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 4th Asian Applied Physics Conference  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kumamoto University   Country:Japan  

  • Development of Carbon Based Counter Electrodes for Dye Sensitized Solar Cells International conference

    F. L. Chawarambwa, K. Kamataki, K. Koga, M. Shiratani

    The 4th Asian Applied Physics Conference  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kumamoto University   Country:Japan  

  • Machine Learning Analysis for Prediction of Key Plasma Process Parameters International conference

    R. Iwamoto, S. Okunaga, K. Kamataki, H. Hara, K. Koga, M. Shiratani

    The 4th Asian Applied Physics Conference  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kumamoto University   Country:Japan  

  • Sputter deposition of amorphous ZnAlON films with tunable bandgap International conference

    S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kumamoto University   Country:Japan  

  • Surface morphology of single-crystal ZnO films on sapphire substrates fabricated by sputter epitaxy *Yuta Nakamura, Soichiro Muraoka, Kunihiro K International conference

    Y. Nakamura, S. Muraoka, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kumamoto University   Country:Japan  

  • Effects of Substrate temperature on Crystal Quality of (ZnO)x(InN)1-x Films Fabricated by Sputter Epitaxy International conference

    K. Kaneshima, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kumamoto University   Country:Japan  

  • Sputter Epitaxy of (ZnO)x(InN)1-x films for Excitonic Transistors International conference

    R. Narishige, N. Miyahara, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kumamoto University   Country:Japan  

  • Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    41st International Symposium on Dry Process (DPS2019)  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:JMS Aster Plaza, Hioshima   Country:Japan  

  • Low Temperature Fabrication of High Nitriding Degree of SiN films by Multi-Hollow Discharge SiH4+N2 Plasma CVD International conference

    K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    41st International Symposium on Dry Process (DPS2019)  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:JMS Aster Plaza, Hioshima   Country:Japan  

  • Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature International conference

    S. Muraoka, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    41st International Symposium on Dry Process (DPS2019)  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:JMS Aster Plaza, Hioshima   Country:Japan  

  • Fluctuation of Position and Energy of a Fine Particle in Plasma Nanofabrication

    M. Shiratani, M. Soejima, H. Seo, N. Itagaki, K. Koga

    第35回九州・山口プラズマ研究会  2019.11 

     More details

    Event date: 2019.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:対馬市交流センター, 長崎   Country:Japan  

  • Precision control of nanoparticle property in reactive plasma ~ Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD ~

    K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第35回九州・山口プラズマ研究会  2019.11 

     More details

    Event date: 2019.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:対馬市交流センター, 長崎   Country:Japan  

  • Effects of Pressure on Characteristics of a-Si:H Films Desosited using Multi-Hollow Discharge Plasma CVD International conference

    Y. Hao, H. Hara, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019)  2019.11 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Crowne Plaza Hefei, Hefei   Country:China  

  • Development of a Sensitive Electric Field Probe in Ar plasmas using Optically Trapped Fine Particles International conference

    K. Tomita, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    72nd Gaseous Electronics Conference  2019.10 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Texas A&M Hotel and Conference Center, Texas  

  • Optical emission spectroscopy of plasma-catalytic CO2 methanation International conference

    A. Yamamoto, M. Ideguchi, S. Toko, K. Koga, M. Shiratani

    72nd Gaseous Electronics Conference  2019.10 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Texas A&M Hotel and Conference Center, Texas  

  • Spatial inhomogeneous distribution of high Si-H2 bond density in a-Si:H films deposited by MHPCVD International conference

    H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    72nd Gaseous Electronics Conference  2019.10 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Texas A&M Hotel and Conference Center, Texas  

  • Effects of Discharge Power on Deposition Cutoff of Carbon Nanoparticles Synthesized by High Pressure Ar+CH4 Multi-hollow Discharge Plasma CVD International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    72nd Gaseous Electronics Conference  2019.10 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Texas A&M Hotel and Conference Center, Texas  

  • Challenges and Opportunities in Dye Sensitized Solar Cells Using DBD Plasma Treated Upconversion Nanoparticles (Invited) Invited International conference

    K. Kamataki, F. L. Chawarambwa, K. Koga, M. Shiratani

    236th ECS Meeting  2019.10 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Atlanta, GA  

  • 吸水したカイワレダイコン種子内ラジカル計測

    吉田 知晃, 佐藤 僚哉, 山下 大輔, 鎌瀧 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治

    2019年第80回応用物理学会秋季学術講演会  2019.9 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • AM変調反応性プラズマにおけるナノ粒子成長揺動に関する時空間情報解析

    鎌滝 晋礼, 田中 颯, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治

    2019年第80回応用物理学会秋季学術講演会  2019.9 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • プラズマ誘起有機酸の前駆体が拓く超バイオ機能

    石川 健治, 橋爪 博司, 田中 宏昌, 吉武 淳, 柴田 貴広, 小鹿 一, 伊藤 昌文, 古閑 一憲, 白谷 正治, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝

    2019年第80回応用物理学会秋季学術講演会  2019.9 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • プラズマ生成前駆体制御による単分散ナノ粒子合成

    古閑 一憲, 鎌滝 晋礼, 白谷 正治

    2019年第80回応用物理学会秋季学術講演会  2019.9 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • (ZnO)x(InN)1-x膜スパッタエピタキシー:表面モルフォロジーの時間発展の観察

    金島 健太郎, 宮原 奈乃華, 浦川 聖一, 山下 大輔, 鎌滝 晋礼, 古閑 一憲, 白谷 正治

    2019年第80回応用物理学会秋季学術講演会  2019.9 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • インジウムナノ粒子の気管内および皮下投与によるインジウムの生体影響の比較

    田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治

    第60回大気環境学会年会  2019.9 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京農工大学府中キャンパス   Country:Japan  

  • Developing Prediction of Key Plasma Processing Parameter from Small Data of Experimental Results by Machine Learning Method International conference

    K. Kamataki, R. Iwamoto, S. Okunaga, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019.9 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison Glad Hotel, Jeju Island   Country:Korea, Republic of  

  • Effects of cluster incorporation in SiN films International conference

    S. Nagaishi, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019.9 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison Glad Hotel, Jeju Island   Country:Korea, Republic of  

  • High Rate Deposition of Carbon Films Using High Pressure CH4 Plasma CVD International conference

    S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019.9 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison Glad Hotel, Jeju Island   Country:Korea, Republic of  

  • Investigation of Spatiotemporal Structure of Fluctuation Related with Nanoparticle Growth in Amplitude-Modulated VHF Discharge Reactive Plasma International conference

    K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019.9 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison Glad Hotel, Jeju Island   Country:Korea, Republic of  

  • Ultra-sensitive Plasma Potential Measurements by using an Optically Trapped Fine Particle International conference

    M. Shiratani, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019.9 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison Glad Hotel, Jeju Island   Country:Korea, Republic of  

  • Synthesis of Si-nanoparticles using low temperature plasmas and its application to DSSCs International conference

    F. L. Chawarambwa, M. Shiratani, K. Koga, K. Kamataki, H. Seo

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019.9 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison Glad Hotel, Jeju Island   Country:Korea, Republic of  

  • Low Temperature Fabrication of Low Hydrogen Content SiN films by Multi Hollow Discharge SiH4+N2 Plasma CVD International conference

    Y. Sasaki, S. Nagaishi, H. Hara, S. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The Korea-Japan Workshop on Dust Particles in Plasmas  2019.8 

     More details

    Event date: 2019.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NFRI, Daejeon   Country:Korea, Republic of  

  • Advanced Methods of Thin Film Fabrication using Plasmas (Invited) Invited International conference

    M. Shiratani, K. Kamataki, K. Koga, N. Itagaki

    28th International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS28)  2019.8 

     More details

    Event date: 2019.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ecole Polytechnique, Palaiseau   Country:France  

  • Influence of Seed Coat Color on Reactive Species in Plasma Irradiated Seeds of Radish Sprouts International conference

    K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Effects of surrounding gas on plasma-induced liquid flow International conference

    T. Kawasaki, K. Nishida, M. Kawaguchi, Y. Hazama, G. Uchida, F. Mitsugi, N. Takeuchi, K. Takenaka, K. Koga, Y. Setsuhara, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Study on Relationship between Growth of Nanoparticles and Plasma Fluctuation due to Amplitude Modulated Discharge Voltage in Capacitively Coupled Reactive Plasma International conference

    K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, T. Nakatani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Position fluctuation of a fine particle trapped optically in Ar plasma International conference

    M. Shiratani, K. Tomita, H. Ohtomo, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Cold plasma treatment stimulates seed germination by inducing dormancy loss due to changes in phytohormone balance International conference

    V. Mildaziene, L. Degutyte˙-Fomin, G. Pauzaite, A. Ivankov, R. Zukiene, Z. Nauciene, I. Filatova, V. Lyushkevich, K. Koga, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Effects of liquid properties on plasma-induced liquid flow International conference

    K. Nishida, M. Kawaguchi, Y. Hazama, G. Uchida, F. Mitsugi, N. Takeuchi, K. Takenaka, K. Koga, Y. Setsuhara, M. Shiratani, T. Kawasaki

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • SiH4 gas velocity dependence of deposition rate and Si-H2 bond density of a-Si:H films deposited by multi-hollow discharge plasma CVD method International conference

    H. Hara, S. Nagaishi, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD International conference

    K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Atmospheric plasma-assisted modification of nanosized TiO2/Y2O3:Er3+/Yb3+ double composite film and its application to dye-sensitized solar cells International conference

    F. L. Chawarambwa, H. Chou, M. Shiratani, K. Koga

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Sputter Epitaxy of (ZnO)x(InN)1-x Films on Sapphire Substrates International conference

    N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • Prediction of Sputtering Conditions for Amorphous and Low Resistive ITO/In2O3 Films via Machine Learning International conference

    R. Iwamoto, K. Kamataki, S. Muraoka, N. Miyahara, D. Yamashita, D. Ikeda, K. Koga, N. Itagaki, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019.7 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo Education and Culture Hall   Country:Japan  

  • RFマグネトロンスパッタリングによる可変バンドギャップ半導体(ZnO)x(AlN)1-xの創成

    浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019)  2019.6 

     More details

    Event date: 2019.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • プラズマ中の光捕捉微粒子に働く力の揺らぎ検出

    白谷正治, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲

    日本物理学会第74回年次大会(2019年)  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 反応性プラズマにおけるナノ粒子成長における変調レベル依存性

    鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会第74回年次大会(2019年)  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • スパッタエピタキシーによるサファイア基板上(ZnO)x(InN)1-x薄膜の2段階成長 Invited

    宮原奈乃華, 浦川聖市, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第66回応用物理学会春季学術講演会  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Arプラズマ中の光捕捉微粒子を用いたシース近傍電界計測法の開発

    富田健太郎, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • 反応性プラズマにおける相互作用揺らぎの時空間構造の周波数依存性

    鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • ダウン/アップコンバージョンナノ粒子を用いた色素増感太陽電池の特性改善

    Chawarambwa Fadzai, 張博辰, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 徐鉉雄

    第66回応用物理学会春季学術講演会  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    第66回応用物理学会春季学術講演会  2019.3 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

    Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method

  • プラズマに関する学生実験が受講者の動機付けに与える影響

    鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • 高ガス流速下におけるシランプラズマ中で発生した粒子の堆積とその膜質への影響

    田中和真, 石榴, 原尚志, 永石翔大, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019.3 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Cluster Incorporation Amount and Film Composition of SiN Films Fabricated by Multi-Hollow Discharge Plasma CVD Method International conference

    S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019.2 

     More details

    Event date: 2019.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kinugawa Hotel Mikazuki, Tochigi   Country:Japan  

  • High transparency polymer counter electrode for bifacial dye sensitized solar cells International conference

    B. Zhang, F. L. chawarambwa, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019.2 

     More details

    Event date: 2019.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kinugawa Hotel Mikazuki, Tochigi   Country:Japan  

  • Effects of film deposition precursor on FWHM into a-Si:H thin films deposited by plasma CVD International conference

    K. Tanaka, H. Hara, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019.2 

     More details

    Event date: 2019.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kinugawa Hotel Mikazuki, Tochigi   Country:Japan  

  • Effects of clusters and higher-order silanes (HOSs) on surface roughness and Si-H2 bond density in a-Si:H films deposited by SiH4 plasma CVD International conference

    H. Hara, K. Tanaka, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019.2 

     More details

    Event date: 2019.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kinugawa Hotel Mikazuki, Tochigi   Country:Japan  

  • Ambipolar charging due to plasma irradiation: from nanoparticles to proteins (Invited) Invited International conference

    M. Shiratani, K. Kamataki, N. Itagaki, K. Koga

    19th Workshop on Fine Particle Plasmas  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Growth of Nano-Particles in Reactive Plasma using Amplitude Modulated rf Discharge Voltage International conference

    K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    19th Workshop on Fine Particle Plasmas  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Study on Spatial Distribution of Plasma Fluctuation Using Optically Trapped Fine Particle in Ar Plasma International conference

    H. Ohtomo, T. Chimura, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    19th Workshop on Fine Particle Plasmas  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Sputter Epitaxy of ZnO Based Compounds for Excitonic Devices Invited International conference

    N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Sputter Epitaxy of compound semiconductors via inverse Stranski-Krastanov mode: A method of single crystalline film growth beyond lattice matchins condition Invited International conference

    N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Approaches to increase throuput of Plasma- Catalytic CO2 methanation International conference

    S. Tanida, A. Yamamoto, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Effects of N2, O2 gas composition ratio and humidity in plasma on plasma induced plant growth enhancement International conference

    Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Effects of film deposition precursors on Raman intensity ITA/ITO of a-Si:H thin films fabricated by plasma CVD method International conference

    K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Effects of cluster-eliminating filter and gas velocity on SiH2 bond formation in a-Si:H films deposited by MHDPCVD method International conference

    H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • CO2 hydrogenation by plasma catalytic method: pressure dependence International conference

    A. Yamamoto, S. Tanida, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-J  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Size and Structure Control of Carbon Nano-particles Synthesized by Multi-hollow Discharge Plasma CVD Method International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization: effects of target-substrate distance International conference

    K. Imoto, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • Deposition Rate and Cluster Incorporation Amount of SiN Films Fabricated by a Multi-Hollow Discharge Plasma CVD Method International conference

    S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kitakyushu International Conference Center   Country:Japan  

  • SiH4+N2 マルチホロー放電プラズマ CVD を用い製膜した SiNx 薄膜のクラスター混入と膜質 の関係

    佐々木勇輔, 永石翔大, 田中和真, 原尚志, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 種子への大気圧誘電体バリア放電プラズマ照射: 種子内色素の影響

    嶋田凌太郎, 和田陽介, 佐藤僚哉, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマ中光捕捉微粒子の位置揺らぎに関する研究

    千村智, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Si 薄膜プラズマ CVD における成膜条件と膜質の相関の機械学習解析

    岩本亮介, 鎌滝晋礼, 田中和真, 原尚志, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 容量結合放電プラズマを用いた炭酸ガスのメタンへの改質

    山本瑛久, 谷田知史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マグネトロンスパッタによる擬2元系混晶(ZnO)x(InN,AlN)1-xのヘテロエピタキシー

    浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Effects of DC Bias Voltage on Deposition of Carbon Nano Particles Synthesized by Ar + CH4 Multi-Hollow Discharge Plasma International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Synthesis of Er3+/Eu3+ co-doped Y2O3 nanoparticles and its application to dye sensitized solar cells International conference

    F.L. Chawarambwa, B. Zhang, M. Shiratani, K. Koga

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Catalytic activity enhanced polymer counter electrode for bificial dye-sensitized solar cells International conference

    B. Zhang, F. L. Chawarambwa, H. Seo, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Applying Multivariate Analysis to a-Si:H Deposition Plasma Process Data International conference

    R. Iwamoto, K. Kamataki, K. Tanaka, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Effect of Plasma Fluctuation Frequency on Growth of Nanopariticles in Reactive Plasmas International conference

    K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Time evolution of amount of cluster incorporation into SiNx films and electron temperature of CVD Plasma International conference

    S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M, Shiratani

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Gas velocity dependence of Si-H2 bond density and surface roughness of a-Si:H films deposited by multi-hollow plasma CVD International conference

    H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • 反応性プラズマにおけるプラズマ揺らぎとラジカルとナノ粒子成長の関係

    鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

    Relationship between plasma fluctuation, radicals and growth of nano-particle in reactive plasma

  • 圧力勾配式スパッタリングによる透明CNx膜のガス分圧依存性

    太田裕己, 高橋希世美, 米澤健, 中谷達行, 呉準席, 古閑一憲, 白谷正治, 伊藤昌文

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • 顕微FTIRを用いたプラズマCVD Si薄膜の結合状態の二次元分布評価

    石榴, 田中和真, 原尚志, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • フラックス制御スパッタによるIn-rich (ZnO)x(InN)1-x膜のヘテロエピタキシー

    宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

    Hetero Epitaxy of In-rich (ZnO)x(InN)1-x films by Flux Control Sputtering

  • プラズマCVD法で作製したa-Si:H薄膜のSiネットワーク秩序性評価

    田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • プラズマCVDによるSiN製膜時のクラスターの混入が膜物性へ与える影響

    永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • 窒素添加結晶化法による格子不整合基板上へZnOエピタキシャル成長—窒素酸素共添加多段バッファー層の効果—

    呂佳豪, 山下大輔, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

    Sputter epitaxy of single crystalline ZnO films on 18%-lattice-mismatched sapphire substrates using multiple ZnON buffers

  • RFマグネトロンスパッタ法を用いたSi(111)基板上でのZnO結晶成長における窒素不純物の効果

    村岡宗一郎, 呂佳豪, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

    Effect of buffer layer on the growth of ZnO films on Si (111) substrates by sputtering via nitrogen mediated crystallization

  • Ar/N2スパッタリングによる低抵抗アモルファスITO膜の作製:ターゲット-基板間距離の影響

    井本幸希, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • CO2のCH4化のための低圧高周波プラズマの分光計測

    谷田知史, 山本瑛久, 古閑⼀憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • 反応性プラズマ中のナノ粒子量揺らぎの構造解析

    周靭, 鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

    The structure analysis of fluctuation of nanoparticles in reactive plasmas

  • 高速ガス流マルチホロー放電プラズマの発光分光計測

    原尚志, 田中和真, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Growth Kinetics of Carbon Nano Particles Generated in an Ar/CH4 Multi-Hollow Discharge Plasmas

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Arプラズマ中微粒子の相互作用の評価

    大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

    An evaluation of interactions between fine particles using collision analysis in Ar plasma

  • 種子へのプラズマ照射における活性種の吸収特性の検討-種子数密度の効果

    和田陽介, 佐藤僚哉, 嶋田凌太郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

    Water Imbibition of Plant Seeds Irradiated by Atmospheric Pressure Plasma

  • 大気圧プラズマ照射したイネの成長に対する浸水処理日数依存性

    佐藤僚哉, 和田陽介, 嶋田凌太郎, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • リンゴの味と色に対する低温プラズマ照射の影響

    白谷正治, 大井手芳徳, 古閑一憲, 田原祐助, 都甲潔

    第35回プラズマ・核融合学会年会  2018.12 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Sputter Epitaxy via Inverse Stranski-Krastanov Growth Mode: A Method of Single Crystal Growth beyond Lattice Matching Condition International conference

    N. Itagki, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    AVS Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2018)  2018.12 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Waikoloa Beach Marriott Resort & Spa, Waikoloa Beach, Hawaii, USA  

  • Catalyst-free growth of c-axis aligned (ZnO)0.79(InN)0.21 nanorods by RF magnetron sputtering International conference

    N. Miyahara, D. Yamashita, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki

    2018 MRS Fall Meeting & Exhibit  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, Massachusetts  

  • Effects of nitrogen impurity on sputtering growth of ZnO films on Si(111) substrates International conference

    S. Muraoka, J. Lyu, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2018 MRS Fall Meeting & Exhibit  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, Massachusetts  

  • 反応性プラズマにおけるプラズマ揺らぎの時空間構造

    鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第34回九州・山口プラズマ研究会  2018.11 

     More details

    Event date: 2018.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:シーサイドホテル屋久島, 鹿児島   Country:Japan  

  • Motion analysis of inter-particle interactions of three fine particles in Ar plasma International conference

    H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    40th International Symposium on Dry Process (DPS2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance International conference

    K. Imoto, N. Miyahara, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki

    40th International Symposium on Dry Process (DPS2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Micron-scale plasma fluctuation detected using paired fine particles (Invited) Invited International conference

    M. Shiratani, H. Ohtomo, K. Koga

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Impact of Amplitude Modulation of RF Discharge Voltage on the Spatial Profile of Nanoparticle Characteristics in Reactive Plasma (Invited) Invited International conference

    K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Sputter epitaxy of high quality (ZnO)x(InN)1-x: a new semiconducting material for excitonic devices (Invited) Invited International conference

    N. Itagki, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma International conference

    R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Spatial distribution of SiH2/SiH bond density ratio in a-Si:H solar cells fabricated by plasma CVD International conference

    L. Shi, K. Tanaka, H. Hara, S. Nakano, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Suppression of HOS molecules incorporation in a-Si:H films fabricated by plasma CVD International conference

    K. Tanaka, H. Hara, L. Shi, S. Nagaishi, S. Nakano, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Sputter epitaxy of single crystalline ZnO on 18%-lattice-mismatched sapphire using multi buffer layers fabricated via nitrogen mediated crystallization International conference

    J. Lyu, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma International conference

    R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • Effects of Gas Pressure on Size of Carbon Nanoparticles Prepared by Methane Plasma Process International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • ESR study of plasma irradiated seeds International conference

    M. Shiratani, Y. Wada, R. Sato, D. Yamashita, K. Koga

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018.11 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Kanazawa Chamber of Commerce and Industry & Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  • 銅電極を用いた低圧放電によるCO2のメタン変換

    谷田知史, 山本瑛久, 古閑一憲, 白谷正治

    放電/プラズマ・パルスパワー合同研究会  2018.10 

     More details

    Event date: 2018.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • SiH4+N2マルチホロー放電プラズマCVDによる SiN製膜時の膜へのクラスターの取り込み

    永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    放電/プラズマ・パルスパワー合同研究会  2018.10 

     More details

    Event date: 2018.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • スパッタエピタキシーによるサファイア基板直上へのIn-rich (ZnO)x(InN)1-x 膜の作製

    宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂

    2018年第79回応用物理学会秋季学術講演会  2018.9 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

    Sputter Epitaxy of In-rich (ZnO)x(InN)1-x Films Directly on Sapphire Substrates

  • CH4+Arマルチホロー放電プラズマで生成したカーボンナノ粒子の基板堆積に対するガス流量の影響

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2018年第79回応用物理学会秋季学術講演会  2018.9 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

    Influence of gas flow rate on deposition of carbon nanoparticles produced by CH4+Ar multi-hollow discharge plasma onto substrates

  • 大気圧誘電体バリア放電プラズマ照射に対する種皮の機能大気圧誘電体バリア放電プラズマ照射に対する種皮の機能

    鎌滝晋礼, 和田陽介, 嶋田凌太郎, 佐藤僚哉, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, Vida Mildaziene

    2018年第79回応用物理学会秋季学術講演会  2018.9 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

    Seed coat function against atmospheric pressure dielectric barrier discharge plasma irradiation

  • Effects of CH4 Concentration on Size of Carbon Nano-Particles Formed in Multi-hollow Discharge Plasma International conference

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th UK-Japan Engineering Education League Workshop 2018  2018.9 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyushu University   Country:Japan  

  • Improvement of Si network order of a-Si:H thin films by suppressing incorporation of HOS molecules International conference

    S. Nakano, K. Tanaka, H. Hara, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th UK-Japan Engineering Education League Workshop 2018  2018.9 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyushu University   Country:Japan  

  • Analysis of Inter-particle Interactions of Two Fine Particles in Ar Plasma International conference

    H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    JP-KO dust workshop 2018  2018.7 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:KKR Kamakura   Country:Japan  

  • Density modulation of nanoparticles in amplitude modulated discharge plasmas International conference

    M. Shiratani, R. Zhou, H. Ohtomo, N. Itagaki, K. Koga

    24th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG 2018)  2018.7 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Glasgow   Country:United Kingdom  

  • Cross correlation analysis of fluctuation of interactions between nanoparticles and low pressure reactive plasmas (Invited) Invited International conference

    M. Shiratani, K. Koga

    10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018)  2018.7 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Room Temperature Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated by RF magnetron sputtering International conference

    N. Miyahara, L. Shi, K. Iwasaki, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018)  2018.7 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Effects of gas velocity dependence of Si-H2 bond density at P/I interface of a-Si:H layered films deposited by multi-hollow discharge plasma CVD. International conference

    H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    Workshop "Plasma surface interaction for technological applications"  2018.6 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Kiel   Country:Germany  

  • Methanation of CO2 using low temperature and catalyst International conference

    A. Yamamoto, S. Tanida, S. Toko, K. Koga, M. Shiratani

    Workshop "Plasma surface interaction for technological applications"  2018.6 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Kiel   Country:Germany  

  • SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD International conference

    H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    28th Symposium on Plasma Physics and Technology (SPPT)  2018.6 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Czech Technical University in Prague  

  • Effect of gas flow rate on plasma-catalytic methanation reaction International conference

    A. Yamamoto, S. Tanida, S. Toko, K. Koga, M. Shiratani

    28th Symposium on Plasma Physics and Technology (SPPT)  2018.6 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Czech Technical University in Prague  

  • a‐Si:H 薄膜への高次シラン取り込みとSiネットワーク秩序性の関係

    田中和真, 原尚志, 石榴, 永石翔大, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会)  2018.6 

     More details

    Event date: 2018.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州工業大学   Country:Japan  

  • プラズマCVD法で作製したa-Si:H 膜のSiH2/SiH 結合密度比の2次元分布

    石榴, 田中和真, 原尚志, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会)  2018.6 

     More details

    Event date: 2018.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州工業大学   Country:Japan  

  • スパッタエピタキシーにより作製した(ZnO)0.73(InN)0.27のフォトルミネッセンス

    宮原奈乃華, 岩崎和也, 石榴, 山下大輔, 中村大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第65回応用物理学会春季学術講演会  2018.3 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    Photoluminescence of (ZnO)0.73(InN)0.27 fabricated by sputter epitaxy

  • プラズマ-触媒併用型二酸化炭素メタン変換における律速段階

    都甲将, 谷田知史, 山本瑛久, 古閑一憲, 白谷正治

    第65回応用物理学会春季学術講演会  2018.3 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    Rate-limiting steps in plasma-catalytic methanation process of CO2

  • 格子整合条件を超えて原子平坦表面を実現する新規スパッタエピタキシー技術の開発~ZnO on sapphireを例に~(招待講演) Invited

    板垣奈穂, 岩崎和也, 古閑一憲, 白谷正治

    第65回応用物理学会春季学術講演会  2018.3 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    A New Approach to Sputter Epitaxy for Growth of Thin Films with Atomically-Flat Surface on Large Lattice Mismatched Substrates

  • カーボン薄膜の選択プラズマCVD

    白谷正治, 方トウジュン, 山木健司, 徐鉉雄, 板垣奈穂, 古閑一憲

    平成30年電気学会全国大会  2018.3 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Impact of Plasma Agriculture on Global Vegetation Biomass Invited International conference

    M. Shiratani, K. Koga

    2nd International Workshop On Plasma Agriculture (IWOPA2)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Civic Cultural Hall, Gifu   Country:Japan  

  • Cold Plasma Treatment Induces Changes in Seed Hormone Content and Explant Growth Invited International conference

    V. Mildaziene, R. Zukiene, L. Degutytė-Fomins, G. Pauzaite, J. Ziauka, K. Koga, M. Shiratani

    2nd International Workshop On Plasma Agriculture (IWOPA2)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Civic Cultural Hall, Gifu   Country:Japan  

  • Effects of Number Density of Seeds on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation International conference

    Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    2nd International Workshop On Plasma Agriculture (IWOPA2)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Civic Cultural Hall, Gifu   Country:Japan  

  • IoT-oriented solar cells fabricated using plasma-based nanotechnology (Invited) Invited International conference

    M. Shiratani, H. Seo, N. Itagaki, K. Koga

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya  

  • Pressure Gradient Sputtering to Achieve High Deposition Rate for Metal Thin Film International conference

    H. Ohta, K. Takahashi, Jun-Seok Oh, K. Koga, T. Nakatani, M. Ito, M. Shiratani, K. Yonezawa

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya   Country:Japan  

  • Analysis of Coupling of Interaction Fluctuation between Reactive Plasmas and Nanoparticles International conference

    R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya   Country:Japan  

  • A tailored voltage waveform plasma CVD method for carbon film deposition International conference

    K. Yamaki, T. Fang, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018)  2018.3 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya   Country:Japan  

  • Thin film deposition using low temperature plasmas: past, present, and future (Plenary) Invited International conference

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    4th International Workshop on Advanced Plasma Technology and Applications (The 4th IWAPTA workshop)  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:IPB International Convention Centre, Bogor   Country:Indonesia  

  • Plasma CVD of a-C:H films as protective layers for solar cells (Invited) Invited International conference

    M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, K. Takenaka, Y. Setsuhara

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Utilizing cluster cloud as a selective filter of deposition precursors of aSi:H films International conference

    S. Toko, T. Kojima, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Reduction of activation energy of CO2 methanation reaction using plasma-catalytic method International conference

    A. Yamamoto, S. Toko, S. Tanida, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD International conference

    K. Tanaka, H. Hara, S. Toko, T. Kojima, S. Nagaishi, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Enhancement on photovoltaic performance of dye-sensitized solar cells by applying up-conversion Y2O3:Er3 + nanoparticles International conference

    D. Sakamoto, B. Zhang, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Effect of additive on catalytic enhancement of polymer counter electrode in dye-sensitized solar cells International conference

    B. Zhang, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Strategy for the commercialization of dye-sensitized solar cells Invited International conference

    H. Seo, D. Sakamoto, B. Zhang, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018.2 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • 非平衡プラズマを用いたカーボンリサイクルによるエネルギー貯蔵

    谷田知史, 都甲将, 山本瑛久, 古閑一憲, 白谷正治

    九州大学エネルギーウィーク2018  2018.1 

     More details

    Event date: 2018.1 - 2018.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学, アクロス福岡   Country:Japan  

  • プラズマ照射リンゴの味覚変化

    大井手芳徳, 古閑一憲, 白谷正治, 田原祐助, 都甲潔

    第3回味覚センサシンポジウム  2018.1 

     More details

    Event date: 2018.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日本教育会館   Country:Japan  

  • Ar プラズマ中で光捕捉した微粒子を用いたプラズマ揺動の評価

    大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • プラズマ CVD で作製した a-Si:H 積層膜の P/I 界面 SiH2結合量の基板温度依存性

    原尚志, 田中和真, 小島尚, 永石翔大, 都甲将, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • スパッタエピタキシーによる高品質(ZnO)0.8(InN)0.2薄膜の作製:スパッタリングガス圧力の影響

    竹内一登, 宮原奈乃華, 石榴, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • SiH4+N2マルチホロー放電プラズマ CVD による SiN 系膜の作製

    永石翔大, 小島尚, 田中和真, 原尚志, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • Ar/N2 スパッタリングによる高品質 ZnO 膜の作製:基板温度の影響

    村岡宗一郎, 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • プラズマ CVD で作製した a-Si:H 薄膜の Si ネットワーク秩序性向上

    田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • Fine Particle Plasma and Plasma Process (Invited) Invited International conference

    M. Shiratani, K. Koga

    18th Workshop on Fine Particle Plasmas  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Cross-spectrum Analysis of Interaction Fluctuation between Plasma and Nanoparticles in Capacitively-Coupled RF Discharge Reactive Plasmas International conference

    K. Mori, R. Zhou, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Cloud of a-Si:H Clusters as Selective Filter in SiH4 Multi-Hollow Discharge Plasma International conference

    T. Kojima, K. Tanaka, S. Nagaishi, H. Hara, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Analysis of Inter-particle Fluctuation in Capacitively Coupled Low Pressure Ar Plasma Using Optical Tweezers International conference

    H. Ohtomo, K. Mori, R. Zhou, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Fabrication of High-Mobility Nanocrystal-Free a-In2O3:Sn Films by Magnetron Sputtering with Impurity-Mediated Amorphization Method Invited International conference

    N. Itagaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    27th annual meeting of MRS-J  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Fluctuation Analysis of An Optical Trapped Fine Particle in Ar Plasma International conference

    H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    27th annual meeting of MRS-J  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Advanced plasma process for next-generation photovoltaics Invited International conference

    H. Seo, N. Itagaki, K. Koga, M. Shiratani

    27th annual meeting of MRS-J  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Impact of Plasma Processing of Agricautural Food on Taste and Food Quality (Invited) Invited International conference

    M. Shiratani, K. Koga

    The 10th EU-Japan Joint Symposium on Plasma Processing (JSPP2017)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bankoku Shinryokan, Okinawa   Country:Japan  

  • Y2O3:Er のアップコンバージョン特性と太陽電池への応用

    坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 中村大輔

    2017年度応用物理学会九州支部学術講演会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎観光ホテル   Country:Japan  

  • 低圧プラズマを用いた CO2 のメタン化反応の活性化エネルギー

    山本瑛久, 都甲将, 谷田知史, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年度応用物理学会九州支部学術講演会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎観光ホテル   Country:Japan  

  • 種子へのプラズマ照射のカイワレ大根の長さ分布に対する効果

    佐藤僚哉, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年度応用物理学会九州支部学術講演会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎観光ホテル   Country:Japan  

  • Growth Enhancement of Sorghum by Plasma Irradiation to The Seeds International conference

    M. Shiratani, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • Effects of Gap between Electrodes and Seeds on Plasma Induced Plant Growth Enhancement International conference

    Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • Effects of electrode material on low pressure plasma-catalytic CO2 methanation International conference

    S. Tanida, S. Toko, A. Yamamoto, K. Koga, and M. Shiratani

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated on sapphire substrates International conference

    N. Miyahara, K. Iwasaki, L. Shi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • Correlation analysis between high energy electrons and nanoparticles in AM CCP International conference

    R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • Sputtering Growth of SiC Films on Si(111) Substrates by using Impurity Mediated Crystallization Method International conference

    K. Imoto, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • Effects of Gas Pressure on Crystal Quality of ZION Films Fabricated by RF Magnetron Sputtering International conference

    L. Shi, N. Miyahara, D. Yamashita, H. Seo, J. Lyu, K. Koga, M. Shiratani, N. Itagaki

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017.12 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Miyazaki Kanko Hotel   Country:Japan  

  • 色素増感太陽電池のポリマー対向電極における触媒反応の活性化(招待講演) Invited

    徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    電子情報通信学会有機エレクトロニクス研究会  2017.12 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:サンメッセ鳥栖   Country:Japan  

    Catalytic enhancement of polymer counter electrode in dye-sensitized solar cells

  • マグネトロンスパッタ法によるSi(111)基板上への高品質ZnO膜の作製:窒素添加バッファー層の効果

    岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • プラズマプロセスにおけるtailored voltage waveformsの使用に向けての設計及びマッチング

    山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • プラズマ照射によって液体中に誘起される流れの定量解析

    川崎敏之, 足立拓也, 阿南翔太, 武井彰汰, 別宮竜之介, 山ノ内翔太, 伊東巧, 古閑一憲, 白谷正治

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎのクロスコリレーション解析区間の比較

    周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • 光ピンセットを用いたArプラズマと単一微粒子との相互作用解析

    大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • 基板温度制御によるa-Si:H薄膜のSiネットワーク秩序性の向上

    田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • 未来の食を支えるプラズマ農業技術への挑戦(シンポジウム講演) Invited

    白谷正治, 古閑一憲

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • 植物種子の吸水に与える大気圧プラズマ照射の効果

    和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2017  2017.11 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:姫路商工会議所   Country:Japan  

  • Carbon Recycling using Low Temperature Plasma with Activated Catalysts International conference

    S. Tanida, S. Toko, K. Koga, A. Yamamoto, M. Shiratani

    The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017)  2017.11 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan   Country:Korea, Republic of  

  • An Analysis on a Kinetic Motion of Optical Trapped Single Fine Particle in Ar Plasma International conference

    H. Ohtomo, K. Mori, Z. Ren, D. Yamashita H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017)  2017.11 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan   Country:Korea, Republic of  

  • Nanoparticle Composite Films: Fabrication and Functions (Invited) International conference

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017)  2017.11 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan   Country:Korea, Republic of  

  • Novel Fabrication Methods of Thin Films using Low Temperature Plasmas (Invited) Invited International conference

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    Taiwan Association for Coating and Thin Film Technology (TACT) 2017  2017.10 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Dong Hwa University   Country:Taiwan, Province of China  

  • Photoluminescence from epitaxial (ZnO)x(InN)1-x films on sapphire substrates fabricated by RF magnetron sputtering International conference

    N. Miyahara, K. Iwasaki, L. Shi, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Taiwan Association for Coating and Thin Film Technology (TACT) 2017  2017.10 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Dong Hwa University   Country:Taiwan, Province of China  

  • Effects of gas flow rate ratio on structure of a-C:H films deposited using Ar + H2+ C7H8 plasma CVD International conference

    T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    Taiwan Association for Coating and Thin Film Technology (TACT) 2017  2017.10 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Dong Hwa University   Country:Taiwan, Province of China  

  • プラズマジェットによって液状ターゲット表面に供給されるROSの二次元分布に周囲ガスが与える影響

    足立拓也, 阿南翔太, 山ノ内翔太, 内田儀一朗, 竹中弘祐, 古閑一憲, 節原裕一, 白谷正治, 川崎敏之

    平成29年度(第70回)電気・情報関係学会九州支部連合大会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:琉球大学   Country:Japan  

  • 大気圧Heプラズマ照射によるヘアレスマウス皮膚への影響評価

    後藤典宏, 北﨑訓, 田中昭代, 平田美由紀, 中津可道, 古閑一憲, 白谷正治

    平成29年度(第70回)電気・情報関係学会九州支部連合大会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:琉球大学   Country:Japan  

  • プラズマ照射による模擬生体内ROS透過率の推定

    武井彰汰, 古閑一憲, 白谷正治, 川崎敏之

    平成29年度(第70回)電気・情報関係学会九州支部連合大会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:琉球大学   Country:Japan  

  • プラズマ照射によって液状媒体深さ方向に供給されるROSの同定への試み

    伊東巧, 武井彰汰, 別宮竜乃介, 古閑一憲, 白谷正治, 川崎敏之

    平成29年度(第70回)電気・情報関係学会九州支部連合大会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:琉球大学   Country:Japan  

  • Local Fluctuations of Plasma Detected with an Optically Trapped Fine Particle International conference

    M. Shiratani, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga

    1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017)  2017.9 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jinniu Hotel, Chengdu   Country:China  

  • Effect of Gas flow rate ratio on the structure and properties of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD International conference

    T. Fang, K. Yamaki, K .Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017)  2017.9 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jinniu Hotel, Chengdu   Country:China  

  • Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells fabricated by plasma CVD (Invited) International conference

    K. Tanaka, T. Kojima, S. Toko, D. Yamashita, Hy. Seo, N. Itagaki, K.Koga, M. Shiratani

    11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017)  2017.9 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:International Convention Center Jeju   Country:Korea, Republic of  

  • Spatial Structure of Interactions between Nanoparticles and Low Pressure Plasmas (Invited) Invited International conference

    M. Shiratani, H. Seo, N. Itagaki, K. Koga

    11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017)  2017.9 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:International Convention Center Jeju   Country:Korea, Republic of  

  • Catalytic enhancement on polymer counter electrodes of dye-sensitized solar cells by isooctylphenoxy-polyethoxyethanol addition International conference

    B. Zhang, D. Sakamoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017)  2017.9 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:International Convention Center Jeju   Country:Korea, Republic of  

  • インジウムナノ粒子と三酸化インジウムのラット気管内投与による体内動態

    田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治

    58回大気環境学会年会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:兵庫医療大学   Country:Japan  

  • Arプラズマ中で光捕捉された単一微粒子の運動解析

    大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 色素増感太陽電池のポリマー対向電極の触媒能のTriton X-100添加による向上

    張博辰, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 色素増感太陽電池におけるポリマー対向電極へのシリコンナノ粒子添加効果

    坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • ポリマーナノコンポジットを用いた量子ドット増感太陽電池の対向電極

    徐鉉雄, 坂本大輔, 張博辰, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 不純物添加スパッタリング法による高移動度・ナノ結晶フリー a-In2O3:Sn薄膜の作製

    板垣奈穂, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 容量結合型プラズマを用いたCO2メタン化におけるCO2変換率のガス流量依存性

    谷田知史, 都甲将, 山本瑛久, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 大気圧DBDプラズマ照射したリンゴの味評価

    大井手芳徳, 古閑一憲, 白谷正治, 田原祐助, 都甲潔

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • プラズマを用いた二酸化炭素のメタン化における電極表面の影響

    都甲将, 谷田知史, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 反応性プラズマ中ナノ粒子とプラズマの相互作用ゆらぎの起因解明

    森研人, 周靭, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎの相関関係解析

    周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 窒素添加結晶化法による格子不整合基板上へのZnOエピタキシャル成長―窒素酸素共添加バッファー層の効果―

    呂佳豪, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 窒素添加スパッタリング法を用いたSi(111)基板上への高品質ZnO薄膜の作製

    岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 高圧マルチホロー放電プラズマCVD下流におけるラジカル成膜速度の時間変化

    小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • ジャガイモの生育に対するプラズマ照射時間の影響

    和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • スペクトル解析によるプラズマ中クラスター挙動の分類

    白谷正治, 小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 結晶Geナノ粒子膜の堆積とそのLiイオン電池への応用

    内田儀一郎, 古閑一憲, 白谷正治, 神原淳

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • 不純物添加法を用いたSi(111)基板上へのSiC薄膜成長

    井本幸希, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • スパッタエピタキシーによるサファイア基板上へのIn-N rich (ZnO)x(InN)1-x膜の作製

    宮原奈乃華, 岩崎和也, 石榴, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • RFマグネトロンスパッタリング法で作製したZION薄膜の結晶性に及ぼすガス圧力の影響

    石榴, 宮原奈乃華, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • P/I界面のSi-H2結合形成に対する基板温度の効果

    田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017.9 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場, 福岡国際センター   Country:Japan  

  • Non-thermal atmospheric-pressure plasma activation of herbal seeds indicating the acceleration of the plant’s germination period (OIM1) International conference

    E. Leal-Quiros, S. Banerjee, J.M.Contreras, K. Koga, M. Shiratani, F. Prakshi, S. Montesinos,Y. Alcantara, F. Avendano

    16th Latin American Workshop on Plasma Physics  2017.9 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Mexico City   Country:Mexico  

  • Effects of H2 flow rate on methanation of CO2 using low pressure nonthermal plasma International conference

    S. Toko, S. Tanida, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.9 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Catalytic Enhancement of Polymer Counter Electrode of Photochemical Photovoltaics based on Nano-particle Application International conference

    H. Seo, D. Sakamoto, H. Chou, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Inverse Stranski-Krastanov Growth of Single Crystalline ZnO-Based Semiconductors on Lattice Mismatched Substrates (Invited) Invited International conference

    N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Film thickness dependence of structure of a-Si:H thin films measured by Raman spectroscopy International conference

    K. Tanaka, S. Toko, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Fabrication of High-Mobility Amorphous In2O3:Sn Films by RF Magnetron Sputtering with Impurity-Mediated Amorphization Method (Keynote) Invited International conference

    N. Itagaki, T. Takasaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Growth enhancement of radish sprout using plasma activated river water and falls water International conference

    Y. Wada, T. Sarinont, Y. Oide, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Two-dimensional profile of RONS dose irradiated with a scalable DBD device International conference

    Y. Oide, T. Sarinont, R. Katayama, Y. Wada, T. Kawasaki, D. Yamashita, H. Seo, N. Itagaki, P. Attri, E. H. Choi, A. Tanaka, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Spatiotemporal structure of fluctuation of interactions between plasmas and nanoparticles in capacitively-coupled rf discharge reactive plasmas International conference

    K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Optical Trapping Process of Single Fine Particle in Ar Plasma International conference

    H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Next Generation Photovoltaics Based on Si Nano-particles Fabricated by Advanced Plasma Process International conference

    H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Fabrication of surface flat (ZnO)x(InN)1-x films on 3%-lattice-mismatched a-sapphire substrates International conference

    N. Miyahara, K. Matsushima, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Effects of bias voltage on the surface morphology of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD International conference

    M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • A Theoretical Model for Time Evolution of Radical Deposition Rate in Silane Plasma CVD International conference

    T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.8 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Storage and Transportation of Reactive Oxygen Species in Tissue Phantom Irradiated with Non-thermal Plasma Jet International conference

    T. Kawasaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017.9 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto   Country:Japan  

  • Inverse Stranski-Krastanov Growth of Pit-Free Single Crystalline ZnO Films on Lattice Mismatched Substrates International conference

    N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    29th International Conference on Defects in Semiconductors (ICDS2017)  2017.8 

     More details

    Event date: 2017.7 - 2017.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Matsue, Shimane   Country:Japan  

  • Measurements of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films International conference

    M. Shiratani, T. Takasaki, H. Wang, K. Matsushima, H. Seo, K. Koga, K. Takeda, M. Hori, and N. Itagaki

    International Conference on Phenomena in Ionized Gases (ICPIG 2017)  2017.7 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Estoril Congress Center, Lisbon   Country:Portugal  

  • Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells deposited by multi-hollow discharge plasma CVD International conference

    S. Toko, K. Tanaka, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Conference on Phenomena in Ionized Gases (ICPIG 2017)  2017.7 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Estoril Congress Center, Lisbon   Country:Portugal  

  • Rise time of Sabatier process using low pressure and low temperature plasma International conference

    S. Toko, S. Tanida, K. Koga, M. Shiratani

    International Conference on Phenomena in Ionized Gases (ICPIG 2017)  2017.7 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Estoril Congress Center, Lisbon   Country:Portugal  

  • インジウムナノ粒子のラット気管投与による体内動態

    田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治

    第26回日本微量元素学会学術集会  2017.7 

     More details

    Event date: 2017.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • Carbon recycling using Cu catalyst together with low pressure capacitively-coupled plasma International conference

    M. Shiratani, S. Toko, S. Tanida, K. Koga

    2017 International Forum on Functional Materials (IFFM2017)  2017.6 

     More details

    Event date: 2017.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel, Jeju   Country:Korea, Republic of  

  • a‐Si:H 薄膜中の Si ネットワーク秩序性のラマン分光法を⽤いた評価

    田中和真, 小島尚, 都甲将, ⼭下⼤輔, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治

    平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会)  2017.6 

     More details

    Event date: 2017.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • ⾊素増感太陽電池におけるナノ粒⼦を⽤いた表⾯改質によるポリマー触媒の反応活性化

    坂本⼤輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治

    平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会)  2017.6 

     More details

    Event date: 2017.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • プラズマジェット照射による酸化反応の KI-デンプン試薬による二次元分布可視化(受賞講演) Invited

    川崎敏之, 古閑一憲, 白谷正治, 内田儀一郎, 竹中弘祐, 節原裕一

    大阪大学接合科学研究所第14回産学連携シンポジウム  2017.5 

     More details

    Event date: 2017.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • 大気圧低温プラズマのヘアレスマウス皮膚照射による影響評価

    田中昭代, 北崎訓, 平田美由紀, 中津可道, 古閑一憲, 白谷正治

    第87回日本衛生学会学術総会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:フェニックス・シーガイア・リゾート, 宮崎   Country:Japan  

  • プラズマとナノ粒子の相互作用ゆらぎのモード間相関

    森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会第72回年次大会(2017年)  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • スパッタ支援層交換で作製したポリイミド基板上結晶Ge薄膜の膜質

    白谷正治, 田浪荘汰, 坂本大輔, 張博辰, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • マルチホロー放電プラズマCVD下流におけるラジカル製膜速度と膜中クラスター混入量の動的振る舞い

    都甲将, 小島尚, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • RFマグネトロンスパッタにより格子不整合基板上に作製した表面平坦ZION薄膜

    宮原奈乃華, 松島宏一, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • 色素増感太陽電池の触媒としてのポリマーナノコンポジット

    徐鉉雄, 坂本大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • Effects of atmospheric pressure plasma to various plant families on plant growth enhancement

    M. Shiratani, T. Sarinont, Y. Wada, R. Katayama, Y. Oide, K. Koga

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • 大気圧DBD装置の活性種照射量の放電電力密度依存性

    白谷正治, 片山龍, 北﨑訓, T. Sarinont, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Attri Pankaj, Eun Ha Choi, 田中昭代

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • プラズマを用いたCO2 のメタン化反応の考察

    都甲将, 谷田知史, 古閑一憲, 白谷正治

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜のフォトルミネッセンス

    松島宏一, 宮原奈乃華, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • a-Si:H太陽電池P/I界面におけるSi-H2結合評価

    都甲将, 田中和真, 小島尚, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第64回応用物理学会春季学術講演会  2017.3 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  • Time evolution of CH4 yield in methanation of CO2 using helicon discharge plasma International conference

    S. Tanida, S. Toko, R. Katayama, K. Koga, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017.3 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Chubu University   Country:Japan  

  • Transportation of reative oxygen species through a tissue phantom by plasmajet irradiation International conference

    T. Kawasaki, G. Kuroeda, R. Sei, M. Yamaguchi, R. Yoshinaga, R. Yamashita, H. Tasaki, K. Koga, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017.3 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Chubu University   Country:Japan  

  • Low Temperature Air Plasma Irradiation to Rice Seeds International conference

    M. Shiratani, T. Sarinont, Y. Wada, K. Koga

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017.1 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University   Country:Japan  

  • Si Nanoparticles Fabricated by Multi-hollow Discharge Plasma Chemical Vapor Deposition and their Photovoltaic Application International conference

    D. Sakamoto, H. Chou, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017.1 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University   Country:Japan  

  • Influence of Plasma Irradiation on Silkworm International conference

    A. Yonesu, K. Koga, M. Shiratani, N. Hayashi

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017.1 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University   Country:Japan  

  • Theoretical Consideration on Methane Production Using Plasma on Mars International conference

    S. Toko, S. Tanida, K. Koga, M. Shiratani

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017.1 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University   Country:Japan  

  • Effects of P-layer of PIN a-Si:H solar cells on Si-H2/Si-H bond ratio at P/I interface International conference

    K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017.1 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University   Country:Japan  

  • DC bias voltage dependence of dust transport in a compact dust trajectory analyzer International conference

    R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara

    17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute of Fusion Science, Gifu   Country:Japan  

  • Comparison of biological effects between plasma and gamma-ray radiation International conference

    M. Shiratani, T. Sarinont, P. Attri, K. Koga

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Time evolution of electron temperature and amount of cluster incorporation into films in SiH4 multi-hollow plasma CVD International conference

    T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Spatial distribution of Si-H2 bond density in a-Si:H film evaluated by microscopic FTIR International conference

    K. Tanaka, T. Kojima, K. Keya, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Properties of Au-induced layer-exchange crystalline Ge formed by sputtering deposition International conference

    S. Tanami, Y. Oide, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Interaction potential fluctuation between binary collisions of fine particles suspended in low pressure Ar rf plasmas International conference

    M. Soejima, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • High-mobility transparent amorphous In2O3:Ge films fabricated by impurity mediated amorphization method International conference

    T. Takasaki, H. Wang, N. Miyahara, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of Sputtering Gas Composition on Properties of ZnO Prepared by Nitrogen Mediated Crystallization Method International conference

    N. Miyahara, T. Ide, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of P-layer of PIN a-Si:H solar cells on Si-H2 bond formation at P/I interface International conference

    K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of modulation frequency on interaction fluctuation between plasma and nanoparticles International conference

    K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of electrostatic field direction on dust accumulation to mirror in a plasma reactor International conference

    R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of bias voltage on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD International conference

    T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Dependence of internal resistance by the quantity of Si nanoparticles on polymer counter electrode in dye-sensitized solar cells International conference

    D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Characteristic length of position fluctuation of a single fine particle optically trapped in Ar plasma International conference

    H. Ohtomo, M. Soejima, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Discharge power dependence of methanation of CO2 under low pressure International conference

    S. Toko, R. Katayama, S. Tanida, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Sputtering growth of (ZnO)x(InN)1-x semiconductor: a ZnO-based compound with bandgap tunability over the entire visible spectrum (Invited) Invited International conference

    N. Itagaki, K. Matsushima, D. Ymashita, H. Seo, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Stimulation of germinability of seeds under various gas plasma activated water International conference

    Y. Wada, T. Sarinont, R. Katayama, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of plasma irradiation on growth of wheat and melon International conference

    T. Sarinont, Y. Wada, R. Katayama, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Analyses of Oxidative Mutagenesis and Carcinogenesis Using Genetically Modified Mice: Application to Plasma Medicine (Invited) Invited International conference

    Y. Nakatsu, N. Takano, M. Ohno, S. Kitazaki, K. Koga, A. Tanaka, M. Shiratani, T. Tsuzuki

    26th annual meeting of MRS-J  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • プラズマを用いたサバティエ反応によるCH4生成速度の理論的検討

    都甲将, 谷田知史, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造

    森研人, 添島雅大, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 大気圧DBDジェット装置のRONS照射量比較実験

    片山龍, サリノント タパナット, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Pankaj Attri, 田中昭代, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVD法で製膜されたa-Si:H薄膜のSi-H2結合密度の面内分布

    田中和真, 毛屋公孝, 都甲将, 小島尚, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVD下流領域におけるクラスター輸送量と膜中クラスター混入量の関係

    小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • ポリイミドフィルム上へのプラズマ支援Au誘起低温高速層交換Ge結晶成長

    田浪荘汰, 坂本大輔, 張博辰, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマ中微粒子の相互作用計測

    添島雅大, 大友洋, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマ中微粒子の光捕捉

    大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマプロセスによって作成されたSiナノ粒子の光電変換特性

    張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマCVDにより作製されたナノ粒子を用いることによるポリマー薄膜の性能向上

    坂本大輔, 張博辰, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • スパッタ製膜圧力が窒素添加ZnO膜の表面形状に与える影響

    岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Scalable DBDによるRONS照射量の二次元分布

    大井手芳徳, 片山龍, サリノント タパナット, 和田陽介, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Ar+H2+C7H8プラズマCVD法におけるアモルファス水素化炭素膜に対する水素流量比の影響

    方韜鈞, 山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Ar/N2/O2スパッタによるZnO薄膜の高品質エピタキシャル成長

    宮原奈乃華, 井手智章, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Ar/N2 スパッタリングプラズマにより作製したアモルファスITO膜の表面形状

    王寒, 高崎俊行, 松島宏一, 岩崎和也, 宮原奈及華, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 容量結合RFプラズマを用いた低温低圧下におけるCO2のメタン化

    谷田知史, 都甲将, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 大気圧DBDプラズマによる植物収穫量の向上

    サリノント タパナット, 和田陽介, 片山龍, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • シロイヌナズナ種子へのプラズマ照射効果に対する前処理の影響

    和田陽介, サリノント タパナット, 片山龍, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVD法で製膜されたPIN a-Si:H太陽電池の安定性に界面が及ぼす影響

    毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • アモルファスITO成膜用Ar/N2スパッタプラズマ中の窒素原子密度の測定

    高崎俊行, 王寒, 松島宏一, 竹田圭吾, 堀勝, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Plasma anisotropic CVD of carbon films from toluene (Invited) Invited International conference

    M. Shiratani, X. Dong, H. Seo, N. Itagaki, K. Koga

    6th International Conference on Advanced Plasma Technologies (ICAPT-6)  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Siem Reap   Country:Cambodia  

  • Catalytic characteristics and photovoltaic application of polymer nano-composite International conference

    H. Seo, D. Sakamoto, N. Itagaki, K. Koga, M. Shiratani

    The 1st Asian Applied Physics Conference (Asian-APC)  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsushima, Nagasaki   Country:Japan  

  • Effects of atmospheric air plasma irradiation to a part of seeds on growth of plants International conference

    Y. Wada, T. Sarinont, R. Katayama, K. Koga, M. Shiratani

    The 1st Asian Applied Physics Conference (Asian-APC)  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsushima, Nagasaki   Country:Japan  

  • マルチホロー放電プラズマ中のクラスターサイズ・密度の放電時間依存性

    小島尚, 都甲将, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成28年度応用物理学会九州支部学術講演会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:対馬市交流センター, 長崎   Country:Japan  

  • PEDOT:PSS 薄膜の導電性向上

    坂本大輔, 張博辰, 大井手芳徳, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成28年度応用物理学会九州支部学術講演会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:対馬市交流センター, 長崎   Country:Japan  

  • Enhancement of fill factor (FF) of dye-sensitized solar cell by means of counter polymer electrode containing Si nanoparticles International conference

    D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 1st Asian Applied Physics Conference (Asian-APC)  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsushima, Nagasaki   Country:Japan  

  • Effects of pulse discharge on cluster transport in downstream region of multi-hollow discharge CVD plasma International conference

    T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 1st Asian Applied Physics Conference (Asian-APC)  2016.12 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsushima, Nagasaki   Country:Japan  

  • 低圧プラズマによるCO2変換への放電電力・ガス滞在時間の効果

    都甲将, 谷田知史, 古閑一憲, 白谷正治

    平成28年度応用物理学会九州支部学術講演会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:対馬市交流センター, 長崎   Country:Japan  

  • 大気圧プラズマジェット照射による模擬生体中へのROSの供給

    黒枝剛哉, 清竜平, 山口真央, 吉永怜史, 山下莉穂, 田崎光, 川崎敏之, 内田儀一郎, 古閑一憲, 白谷正治

    平成28年度応用物理学会九州支部学術講演会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:対馬市交流センター, 長崎   Country:Japan  

  • 大気圧 DBDプラズマを照射した水のRONS濃度の水量依存性

    和田陽介, サリノント タパナット, 片山龍, 古閑一憲, 白谷正治

    平成28年度応用物理学会九州支部学術講演会  2016.12 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:対馬市交流センター, 長崎   Country:Japan  

  • Blue and Green Photoluminescence of (ZnO)0.92(InN)0.08 International conference

    K. Matsushima, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2016 MRS Fall Meeting & Exhibit  2016.12 

     More details

    Event date: 2016.11 - 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, Massachusetts  

  • Plant Growth Enhancement of Seeds Immersed in Plasma Activated Water International conference

    T. Sarinont, K. Koga, M. Shiratani

    2016 MRS Fall Meeting & Exhibit  2016.11 

     More details

    Event date: 2016.11 - 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, Massachusetts  

  • Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growth of Single Crystalline ZnO Films on Sapphire Substrates International conference

    K. Iwasaki, T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2016 MRS Fall Meeting & Exhibit  2016.12 

     More details

    Event date: 2016.11 - 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, Massachusetts  

  • Effects of particle size on catalytic characteristics of polymer counter electrode containing Si nanoparticles in dye-sensitized solar cells International conference

    D. Sakamoto, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016.10 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sands Expo and Convention Centre   Country:Singapore  

  • Room temperature fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization method International conference

    T. Takasaki, W. Han, K. Iwasaki, K. Matsushima,D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016.10 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sands Expo and Convention Centre   Country:Singapore  

  • Low cost dye-sensitized solar cells based on polymer composite catalyst International conference

    D. Sakamoto, H. Seo, S. Tanami, Y. Oide, N. Itagaki, K. Koga, M. Shiratani

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016.10 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sands Expo and Convention Centre   Country:Singapore  

  • Effect of photocatalyst TiO2 on the long-term stability of dye-sensitized solar cells International conference

    T. Takasaki, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016.10 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sands Expo and Convention Centre   Country:Singapore  

  • Advantages of Plasma Agriculture International conference

    M. Shiratani, T. Sarinont, K. Koga

    The First International Conference on Hybridized Agriculture(HA2016)  2016.10 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sojo University   Country:Japan  

  • 大気圧プラズマジェット照射によって模擬生体内を輸送された ROS の二次元濃度分布

    川崎敏之, 内田儀一郎, 古閑一憲, 白谷正治

    第40回静電気学会全国大会  2016.9 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:群馬大学   Country:Japan  

  • Catalytic enhancement on polymer composite counter electrode for low cost quantum dot-sensitized solar cells International conference

    H. Seo, D. Sakamoto, C. V. V. M. Gopi, M. Kim, H. J. Kim, N. Itagaki, K. Koga, M. Shiratani

    The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016)  2016.9 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Dream Center, Gyeongju   Country:Korea, Republic of  

  • Plasma assisted plant growth enhancement for agricultural yield enhancement (Invited) Invited International conference

    M. Shiratani, K. Koga

    The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016)  2016.9 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Dream Center, Gyeongju   Country:Korea, Republic of  

  • p層上に堆積したintrinsic a-Si:H中のSi-H2/Si-H結合比に対する界面の影響

    毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第77回応用物理学会秋季学術講演会  2016.9 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ, 新潟   Country:Japan  

  • バンドギャップチューニング可能なZnO系新半導体材料の開発(プラズマエレクトロニクス賞受賞記念講演) Invited

    板垣奈穂, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷 正治

    第77回応用物理学会秋季学術講演会  2016.9 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ, 新潟   Country:Japan  

  • ヘリコンプラズマを用いた低温低圧下におけるCO2からのCH4生成

    都甲将, 片山龍, 谷田知史, 古閑一憲, 白谷正治

    第77回応用物理学会秋季学術講演会  2016.9 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ, 新潟   Country:Japan  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造解析

    森研人, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第77回応用物理学会秋季学術講演会  2016.9 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ, 新潟   Country:Japan  

  • マルチホロー放電プラズマ下流における電場とクラスター輸送の関係

    小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第77回応用物理学会秋季学術講演会  2016.9 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ, 新潟   Country:Japan  

  • Effects of plasma-irradiation distance on ROS and RNS productions in liquid International conference

    G. Uhcida, A. Nakajima, T. Ito, K. Takenaka, T. Kawasaki, K. Koga, M. Shiratani, Y. Setsuhara

    6th International Conference on Plasma Medicine (ICPM6)  2016.9 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Slovak University of Technology  

  • A comparative study for action of gamma and plasma irradiation dose on thermodynamics of protein International conference

    M. Shiratani, P. Attri, T. Sarinont, E. H. Choi, K. Koga

    6th International Conference on Plasma Medicine (ICPM6)  2016.9 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Slovak University of Technology  

  • A comparative study of reactive oxygen species dose provided by atmospheric plasma and gamma ray irradiation using iodine-starch reaction International conference

    T. Sarinont, P. Attri, E. H. Choi, K. Koga, M. Shiratani

    6th International Conference on Plasma Medicine (ICPM6)  2016.9 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Slovak University of Technology  

  • 低圧ヘリコン放電プラズマを用いたCO2のメタン化

    谷田知史, 都甲将, 片山龍, 古閑一憲, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016.9 

     More details

    Event date: 2016.8 - 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • マルチホロー放電プラズマCVD法によって作成されたSiナノ粒子の量子測定と太陽電池への応用

    張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016.9 

     More details

    Event date: 2016.8 - 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • プラズマ中でレーザートラップされた微粒子を用いたプラズマのその場計測

    大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 古閑一憲, 板垣奈穂, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016.9 

     More details

    Event date: 2016.8 - 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • ナノ粒子制御による高光安定なa-Si:H太陽電池セルの作成

    田中和真, 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016.9 

     More details

    Event date: 2016.8 - 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • Inter-Particle Potential Fluctuation of Two Fine Particles Suspended in Ar Plasmas International conference

    M. Soejima, K. Koga, M. Shiratani

    16th International Conference On Nanotechnology (IEEE NANO 2016)  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai International Center   Country:Japan  

  • Effects of RF power on Au-induced layer-exchange crystalline Ge formation using sputtering deposition International conference

    S. Tanami, Y. Oide, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    20th International Vacuum Congress (IVC-20)  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan Exhibition Convention Center   Country:Korea, Republic of  

  • Time evolution of radical deposition rate and cluster amount (Invited) Invited International conference

    M. Shiratani, S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki and K. Koga

    20th International Vacuum Congress (IVC-20)  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan Exhibition Convention Center   Country:Korea, Republic of  

  • Surface modification of polymeric catalyst for the catalytic enhancement of dye and quantum dot sensitized solar cells International conference

    H. Seo, M. K. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    20th International Vacuum Congress (IVC-20)  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan Exhibition Convention Center   Country:Korea, Republic of  

  • Catalytic Activation of Polymer Composite Catalyst for Photochemical Solar Cells International conference

    H. Seo, M. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    The 67th Annual Meeting of the International Society of Electrochemistry  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Hague   Country:Netherlands  

  • Methanation of CO2 by low pressure helicon plasma discharge International conference

    S. Toko, R. Katayama, K. Koga, M. Shiratani

    20th International Vacuum Congress (IVC-20)  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan Exhibition Convention Center   Country:Korea, Republic of  

  • Effects of atmospheric pressure plasma irradiation to plant seeds put in magnetic field on the plant growth International conference

    T. Sarinont, P. Attri, K. Koga, M. Shiratani

    20th International Vacuum Congress (IVC-20)  2016.8 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan Exhibition Convention Center   Country:Korea, Republic of  

  • インジウムナノ粒子のラット皮下投与による体内動態

    田中昭代, 平田美由紀, 松村渚, 古閑一憲, 白谷正治

    第27回日本微量元素学会学術集会  2016.7 

     More details

    Event date: 2016.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Envelope analysis and cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas International conference

    K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani and S. Inagaki

    Workshop on Plasma surface interaction for technological applications  2016.7 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Kiel   Country:Germany  

  • Effects of clusters transport on hydrogenated amorphous silicon solar cells International conference

    T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    Workshop on Plasma surface interaction for technological applications  2016.7 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Kiel   Country:Germany  

  • Effects of hydrogen content on a-C:H films deposited by Ar+H2+C7H8 plasma CVD International conference

    K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki and M. Shiratani

    Workshop on Plasma surface interaction for technological applications  2016.7 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Kiel   Country:Germany  

  • コンパクトドリフトチューブへのダスト入射角度に対する印加バイアス電圧の影響

    片山龍, 方韜鈞, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男

    第11回核融合エネルギー連合講演会  2016.7 

     More details

    Event date: 2016.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • コンパクトドリフトチューブを用いたArプラズマとグラファイト壁の相互作用により発生したダストの捕集実験

    方韜鈞, 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男

    第11回核融合エネルギー連合講演会  2016.7 

     More details

    Event date: 2016.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Effects of hydrogen content on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD International conference

    K. Yamaki. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani

    23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2016.7 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava  

  • Modulation level dependence of fluctuation of interactions between plasmas and nanoparticles grown in amplitude modulated discharges International conference

    K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2016.7 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava  

  • Effects of discharge power on transport characteristics of clusters in the downstream region of multi-hollow SiH4 discharges International conference

    T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2016.7 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava  

  • プラズマを用いた低温高速層交換結晶成長に対するRF電力の効果

    田浪荘汰, 大井手芳徳, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会)  2016.6 

     More details

    Event date: 2016.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 不純物添加法を用いた高移動度アモルファスIn2O3:Sn膜の作製

    高崎俊行, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会)  2016.6 

     More details

    Event date: 2016.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • DC biased parallel plates for reduction of dust accumulation on first mirror International conference

    M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara

    International Conference on Plasma Surface Interactions in Controlled Fusion Devices (22nd PSI)  2016.5 

     More details

    Event date: 2016.5 - 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pontifical Urbaniana University, Roma   Country:Italy  

  • Fluctuation of position and energy of a fine particle in plasma nanofabrication (Invited) Invited International conference

    M. Shiratani, K. Koga

    Intl’ Conf. on Processing & Manufacturing of Advanced Materials (THERMEC’2016)  2016.5 

     More details

    Event date: 2016.5 - 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Graz   Country:Austria  

  • Plasma induced multigeneration effects on plant growth and crop yield (Invited) Invited International conference

    M. Shiratani, T. Sarinont, K. Koga, N. Hayashi

    1st International Workshop on Plasma Agriculture (IWOPA)  2016.5 

     More details

    Event date: 2016.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:A.J. Drexel Plasma Institute, Philadelphia  

  • R&D status of agricultural applications of high voltage and plasma in Japan (Invited) Invited International conference

    M. Shiratani, T. Sarinont, K. Koga, N. Hayashi

    Workshop on Application of Advanced Plasma Technologies in CE Agriculture  2016.4 

     More details

    Event date: 2016.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ljubljana   Country:Slovenia  

  • コンパクトドリフトチューブを用いたプラズマ生成ダスト捕集

    白谷正治, 片山龍, 古閑⼀憲, 山下大輔, 徐鉉雄, 板垣奈穂, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ

    第63回応用物理学会春季学術講演会  2016.3 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • ヘリコンプラズマを⽤いたCO2のメタン化におけるCH4収率と⽣成速度のCO2ガス流量依存性

    都甲将, 古閑⼀憲, 白谷正治

    第63回応用物理学会春季学術講演会  2016.3 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表⾯モフォロジー制御

    松島宏⼀, 井手智章, 山下大輔, 徐鉉雄, 古閑⼀憲, 白谷正治, 板垣奈穂

    第63回応用物理学会春季学術講演会  2016.3 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • 宇宙科学・⼯学とプラズマ (招待講演) Invited

    白谷正治, 古閑⼀憲

    第63回応用物理学会春季学術講演会  2016.3 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • プラズマによるクラスター捕捉を利用した低クラスター混入 a-Si:H 薄膜の作製

    都甲将, 毛屋公孝, 小島尚, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第7回薄膜太陽電池セミナー  2016.3 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  • 色素及び量子ドット増感太陽電池の電解液に適用可能なポリマー触媒

    徐鉉雄, 田浪荘汰, 大井手芳徳, 板垣奈穂, 古閑一憲, 白谷正治

    第7回薄膜太陽電池セミナー  2016.3 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  • A compact drift tube: a novel in-situ dust measurement method International conference

    M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science (ISPlasma2016/IC-PLANTS2016)  2016.3 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Non-thermal atmospheric air plasma irradiation to plant seeds for plasma agricultural applications International conference

    M. Soejima, K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shirtani

    5th International Conference on Advanced Plasma Technologies (ICAPT-5)  2016.3 

     More details

    Event date: 2016.2 - 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Rogla   Country:Slovenia  

  • Fluctuation in interaction potential between binary colliding fine particles suspended in plasmas International conference

    M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th International Conference on Advanced Plasma Technologies (ICAPT-5)  2016.3 

     More details

    Event date: 2016.2 - 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Rogla   Country:Slovenia  

  • Deposition of high-mobility amorphous In2O3:Sn films by utilizing nitrogen mediated amorphization method International conference

    T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016.2 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Welli Hilli Park, Kangwon Province   Country:Korea, Republic of  

  • Inverse Correlation between Radical Deposition Rate and Cluster Amount in Gas Phase in SiH4 Discharge Plasmas for Si Thin Film Solar Cell Fabrication International conference

    S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016.2 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Welli Hilli Park, Kangwon Province   Country:Korea, Republic of  

  • Hybrid counter electrode of photochemical solar cells for iodine and polysulfide redox systems (Invited) Invited International conference

    H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016.2 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Welli Hilli Park, Kangwon Province   Country:Korea, Republic of  

  • Evaluation of SiH and SiH2 bonds in PIN a-Si:H solar cells using Raman spectroscopy International conference

    K. Keya, Y. Torigoe, S. Toko, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016.2 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Welli Hilli Park, Kangwon Province   Country:Korea, Republic of  

  • Effects of annealing temperature on grain size of Au catalyst for layer-exchange Ge crystalline formation International conference

    S. Tanami, S. Hashimoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016.2 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Welli Hilli Park, Kangwon Province   Country:Korea, Republic of  

  • Stable and low cost polymeric catalyst for dye-sensitized solar cells International conference

    H. Seo, M.-K. Son, N. Itagaki, K. Koga, M. Shiratani

    EMN Photovoltaics Meeting  2016.1 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Eaton Hotel, Hong Kong   Country:China  

  • シランマルチホロー放電プラズマ下流領域におけるクラスタ量の時間変化

    小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本大学   Country:Japan  

    Time evolution of cluster amount in downstream of SiH4 multi-hollow discharge plasmas

  • 反応性プラズマ中で形成されたナノ粒子によるレーザー散乱光強度のエンベロープ解析:変調周波数依存性

    森研人, 伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本大学   Country:Japan  

    Envelope analysis of laser light scattering intensity from nanoparticles formed in reactive plasmas : Modulation frequency dependence

  • プラズマスパッタリングによる酸化シリコン中への埋め込みGeナノ粒子の粒径制御

    大井手芳徳, 橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本大学   Country:Japan  

    Control of Ge nanoparticles Size Embedded in SiO2 by Plasma Sputtering

  • O2/N2分圧比制御による高品質(ZnO)x(InN)1-x膜のスパッタリング形成

    松村勇希, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本大学   Country:Japan  

    Sputter deposition of high quality (ZnO)x(InN)1-X films by controlling O2/N2 partial pressure

  • Ar+H2+C7H8プラズマCVDで堆積したa-C:H膜へのイオン照射の効果

    山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本大学   Country:Japan  

    Effects of ion irradiation on a-C:H films deposited by Ar+H2+C7H8 plasma CVD

  • ダイバータシミュレータ内でのコンパクトドリフトチューブを用いたダスト捕集実験

    片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本大学   Country:Japan  

    Dust collection experiments using a compact drift tube in a divertor simulator

  • Analysis of Spatiotemporal Development of Interaction Fluctuation between Nanoparticles and Radicals in Reactive Plasmas International conference

    K. Koga, T. Ito, T. Kobayashi, M. Shiratani, S. Inagaki

    9th APSPT/28th SPSM  2015.12 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagasaki University   Country:Japan  

  • Dependence of CO2 Conversion on Molar Ratio of H2/CO2 in Helicon Discharge Plasma International conference

    S. Toko, R. Katayama, K. Koga, M. Shiratani

    9th APSPT/28th SPSM  2015.12 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagasaki University   Country:Japan  

  • Effects of Substrate Temperature on Film Growth Mode of ZnO-InN Pseudo Binary Alloys International conference

    K. Matsushima, T. Ide, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki

    9th APSPT/28th SPSM  2015.12 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagasaki University   Country:Japan  

  • DC biased compact drift tube for measuring dust transport

    R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group

    第16回微粒子プラズマ研究会  2015.12 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • Arプラズマ中の2つのダスト粒子間のポテンシャル

    白谷正治, 添島雅大, 伊東鉄平, 徐鉉雄, 板垣奈穂, 古閑一憲

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Inter-grain Potential between Two Dust Grains in Ar Plasma

  • CO2-H2ヘリコンプラズマにおけるCH4生成反応速度

    都甲将, 片山龍, 古閑一憲, 白谷正治

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Reaction Rate of CH4 Generation in CO2 - H2 Helicon Plasmas

  • クラスタ及びSiH3ラジカルのSiH2結合形成への寄与

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Contribution of Clusters and SiH3 Radicals to Si-H2 Bond Formation in a-Si:H Films

  • Photovoltaic Potentials of Nano-particles Based on Advanced Plasma Processes (Invited) Invited

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • Performance Enhancement of Si Quantum Dotsensitized Solar Cells Based on Improved Charge Transfer

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • He/O2プラズマジェット照射による液中酸化反応に及ぼすプラズマ照射距離の効果

    中島厚, 内田儀一郎, 川崎敏之, 古閑一憲, 竹中弘祐, 白谷正治, 節原裕一

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Effects of Plasmairradiation Distance on Oxidation Reaction in Liquid Induced by He/O2 Plasma-jet Irradiation

  • 基板テクスチャ構造がa-Si:H薄膜中Si-H2結合形成に及ぼす影響

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Effects of Substrate Surface Texture on Si-H2 Bond Configuration in a-Si:H Films

  • a-Si:H膜中のSi-H2結合生成に対する表面反応の寄与

    鳥越祥宏, 毛屋公孝, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第25回日本MRS年次大会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Contribution of Surface Reactions to Si-H2 Bond Formation in a-Si:H Thin Films

  • プラズマジェットによりアガロース膜を移動した活性酸素の検出プラズマジェットによりアガロース膜を移動した活性酸素の検出

    佐藤晃弘, 久壽米木捷太, 工藤章裕, 坂之下朋大, 鶴丸拓也, 若林泰昂, 川崎敏之, 内田儀一郎, 古閑一憲, 白谷正治

    平成27年度応用物理学会九州支部学術講演会  2015.12 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:琉球大学   Country:Japan  

  • Plasma Assisted Agriculture (Invited) Invited International conference

    M. Shiratani, T. Sarinont, T. Amano, N. Hayashi, K. Koga

    2015 MRS Fall Meeting  2015.12 

     More details

    Event date: 2015.11 - 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • Deposition Kinetics of Metal Nanoparticles Produced by Discharges in Water International conference

    T. Amano, T. Sarinont, K. Koga, M. Hirata, A. Tanaka, M. Shiratani

    2015 MRS Fall Meeting  2015.12 

     More details

    Event date: 2015.11 - 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • A Simple Method for Quantifying Dose of Reactive Species Generated by Atmospheric Pressure Plasmas International conference

    T. Amano, T. Sarinont, G. Uchida, T. Kawasaki, K. Koga, M. Shiratani

    2015 MRS Fall Meeting  2015.12 

     More details

    Event date: 2015.11 - 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • Chemical Ordering in ZnO-InN Pseudo Binary Alloys with Tunable Bandgap International conference

    K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2015 MRS Fall Meeting  2015.12 

     More details

    Event date: 2015.11 - 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • プラズマによる植物成長促進・食糧増産

    白谷正治, 古閑一憲, 林信哉

    第32回プラズマ・核融合学会 年会  2015.11 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 核融合炉内ダスト計測用コンパクトドリフトチューブの試作

    片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第32回プラズマ・核融合学会 年会  2015.11 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Catalytic Activation in Polymeric Counter Electrode of Dye-sensitized Solar Cells by Surface Modification International conference

    H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani

    25th International Photovoltaic Science and Engineering Conference (PVSEC-25)  2015.11 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO, Busan   Country:Korea, Republic of  

  • Enhancement on Photocatalytic and Photovoltaic Properties of Dye-sensitized Solar Cells by S doped TiO2 International conference

    H. Seo, S.-H. Nam, J.-H. Boo, N. Itagaki, K. Koga, M. Shiratani

    25th International Photovoltaic Science and Engineering Conference (PVSEC-25)  2015.11 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO, Busan   Country:Korea, Republic of  

  • Plasma assisted enhancement of agricultural yield (Invited) Invited International conference

    M. Shiratani and K. Koga

    25th International TOKI Conference (ITC-25)  2015.11 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ceratopia Toki, Gifu   Country:Japan  

  • Gas flow rate dependence of the production of reactive oxygen species in liquid by a plasma-jet irradiation International conference

    G. Uchida, A. Nakajima, T. Kawasaki, K. Koga, K. Takenaka, M. Shiratani, Y. Setsuhara

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Substrate temperature dependence of Au-induced crystalline Ge film formation using sputtering deposition International conference

    S. Tanami, D. Ichida, D. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Raman Spectroscopy of a -C:H Films Deposited Using Ar + H 2 + C 7 H 8 Plasma CVD International conference

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films International conference

    T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films International conference

    K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization International conference

    T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas International conference

    T. Ito, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, T. Kobayashi, S. Inagaki

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Cluster Incorporation into A-Si:H Films Deposited Using H 2 +SiH 4 Discharge Plasmas International conference

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Attraction during binary collision of fine particles in Ar plasma International conference

    M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Effects of Ambient Humidity on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation to Plant Seeds International conference

    T. Sarinont, T. Amano, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Discharge characteristics and hydrodynamics behaviors of atmospheric plasma jets produced in various gas flow patterns International conference

    Y. Setsuhara, G. Uchida, A. Nakajima, K. Takenaka, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Synthesis of indium-containing nanoparticles using plasmas in water to study their effects on living body International conference

    T. Amano, K. Koga, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, S. Kitazaki, M. Hirata, Y. Nakatsu, A. Tanaka

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Raman spectroscopy of PIN hydrogenated amorphous silicon solar cells International conference

    K. Keya, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Laser trapped single fine particle as a probe of plasma parameters International conference

    D. Yamashita, M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Effects of N2 dilution on fabrication of Ge nanoparticles by rf sputtering International conference

    S. Hashimoto, S. Tanami, H. Seo, G. Uchida, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Effects of electrode structure on characteristics of multi-hollow discharges International conference

    Y. Torigoe, K. Keya, S. Toko, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • Deposition rate and etching rate due to neutral radicals and dust particles measured using QCMs together with a dust eliminating filter International conference

    R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, M. Tokitani, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    ICRP9/GEC68/SPP33  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center  

  • プラズマの直接照射及び照射溶液による突然変異の誘導

    中津可道, 大野みずき, 鷹野典子, 北崎訓, 古閑一憲, 天野孝昭, 白谷正治, 田中昭代, 續輝久

    第74回日本癌学会学術総会  2015.10 

     More details

    Event date: 2015.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  • Novel polymer counter electrode of dye-sensitized solar cells International conference

    H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani

    66th Annual Meeting of the International Society of Electrochemistry  2015.10 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei   Country:Taiwan, Province of China  

  • 非平衡プラズマジェットの動的放電特性

    内田儀一郎, 竹中弘祐, 節原裕一, 川崎敏之, 古閑一憲, 白谷正治

    第21回プラズマ新領域研究会『プラズマ流の可視化』  2015.10 

     More details

    Event date: 2015.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • KI-デンプン水溶液を用いた大気圧プラズマの活性種照射量の簡便な評価法

    天野孝昭, Thapanut Sarinont, 内田儀一郎, 川崎敏之, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • 反応性プラズマ中ナノ粒子量揺らぎのクロスコリレーション解析

    伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • 窒素添加結晶化法を用いたZnO膜作製におけるN2/Arプラズマ中のN原子絶対密度計測

    井手智章, 松島宏一, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • 成膜領域へのマルチホロー放電プラズマの拡散に対する電極構造の影響

    鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • 水素前方散乱分析法とフーリエ変換赤外分光法によるアモルファス炭素膜中水素濃度の比較分析

    Xiao Dong, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • 高移動度アモルファスIn2O3:Sn薄膜作製におけるN2/Arスパッタリングプラズマ中の窒素原子絶対密度計測

    高崎俊行, 井手智章, 松島宏一, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • ラマン分光法を用いたa-Si:H PIN太陽電池のP、I層およびPI界面の評価

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • マルチホロー放電プラズマCVD法におけるシランガス流速のクラスターに対するラジカル損失への影響

    都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • プラズマ中微粒子運動の2次元顕微解析

    添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 野口将之, 内田誠一

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • プラズマを用いた低温高速層交換結晶成長に対する金触媒膜厚の効果

    田浪荘汰, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • プラズマ・壁相互作用により発生したダスト堆積とプラズマ発光との相関

    片山龍, 古閑一憲, 山下大輔, Hyunwoong Seo, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • ZnInON膜作製時におけるAr/O2/N2プラズマ中のO原子及びN原子の絶対密度計測

    松島宏一, 井手智章, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • RFスパッタリングによるGeナノ粒子作製への窒素希釈の効果

    橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡大学   Country:Japan  

  • NO2- Concentration In Seeds Irradiated By Atmospheric Dielectric Barrier Discharge Plasmas International conference

    T. Amano, T. Sarinont, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Gas Flow Rate Ratio Dependence Of Properties Of Carbon Films Deposited Using Ar + H2+ C7H8 Plasma CVD International conference

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Fabrication Of Ge Nanoparticle Composite Films By Reactive Dusty Plasma Process For Next Generation Energy Devices (Invited) Invited International conference

    G. Uchida, H. Seo, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Performance Enhancement Of Organic/inorganic Hybrid Solar Cell By The Surface Modification At Si And Polymer Junction International conference

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Catalytic Enhancement On Polymeric Counter Electrode Of Si Quantum Dot-sensitized Solar Cells International conference

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Discharge Characteristics Of A Helium Atmospheric Plasma Jet Impinging Onto The Liquid Surface International conference

    G. Uchida, A. Nakajima, K. Takenaka, Y. Setsuhara, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Requirements Of Buffer Layer For Heteroepitaxy Of ZnO On Lattice-Mismatched Substrate By Sputtering International conference

    M. Shiratani, T. Ide, K. Matsushima, T. Takasaki, H. Seo, K. Koga, N. Itagaki

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Sputter Deposition Of Amorphous In2O3:Sn Films With High Mobility By Utilizing Nitrogen Mediated Amorphization Method International conference

    T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Hydrogen Concentration In Extremely Thin A-Si:H Fimls Deposited By Multi-Hollow Discharge Plasma CVD Method International conference

    Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Response Of Silkworm Larvae To Non-thermal Atmospheric Pressure Plasma Stimulation International conference

    T. Sarinont, K. Koga, T. Amano, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • マルチホロー放電プラズマCVDにおける水素希釈のa-Si:H膜中クラスター混入量への影響

    都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第76回応用物理学会秋季学術講演会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  • Effects of Cluster Eliminating Filter on Extremely Thin a-Si:H Films Deposited by SiH4 Multi-Hollow Discharges

    Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    第76回応用物理学会秋季学術講演会  2015.9 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  • 大気圧He/O2プラズマジェット照射による液中活性酸素種生成に及ぼすガス流パターンの効果

    中島厚, 内田儀一郎, 川崎敏之, 古閑一憲, Thapanut Sarinont, 天野孝昭, 竹中弘祐, 白谷正治, 節原裕一

    第76回応用物理学会秋季学術講演会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  • 液面に入射するプラズマジェットの放電特性と動的挙動

    内田儀一郎, 中島厚, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一

    第76回応用物理学会秋季学術講演会  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  • AM変調を用いた反応性プラズマ中のナノ粒子揺らぎの時空間解析

    森研人, 伊東鉄平, 古閑一憲, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治,小林達哉, 稲垣滋

    第9回プラズマエレクトロニクスインキュベーションホール  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • 膜中クラスター体積分率の水素ガス流量依存性

    小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第9回プラズマエレクトロニクスインキュベーションホール  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • 窒素媒介結晶化のスパッタ法によるZnO膜のエピタキシャル成長の窒素流量の影響

    松村勇希, 井手智章, 松島宏一, 山下大輔, Hyunwoong Seo, 古閑一憲, 白谷正治, 板垣奈穂

    第9回プラズマエレクトロニクスインキュベーションホール  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • 水素原子源付プラズマCVD法によるカーボンフィルムの堆積速度および膜質の制御

    山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 節原裕一, 竹中弘祐

    第9回プラズマエレクトロニクスインキュベーションホール  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • RFスパッタリング法を用いて作製したGeナノ粒子膜の増感型量子ドット太陽電池への応用

    大井手芳徳, 橋本慎史, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第9回プラズマエレクトロニクスインキュベーションホール  2015.9 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • 体内動態観察を目的とした水中プラズマによるインジウムナノ粒子の作製と投与

    天野孝昭, 古閑一憲, 板垣奈穂, 白谷正治, 田中昭代, 平田美由紀

    新学術領域研究「プラズマ医療科学の創成」+「プラズマとナノ界面の相互作用に関する学術基盤の創成」+「統合的神経機能の制御を標的とした糖鎖の作動原理解明」合同公開シンポジウム  2015.8 

     More details

    Event date: 2015.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学ESホール   Country:Japan  

  • Bispectrum analysis of fluctuation of nanoparticle amount in amplitude modulated capacitively-coupled discharge plasmas International conference

    M. Shiratani, T. Ito, K. Koga, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, T. Kobayashi, S. Inagaki

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015.7 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Iasi   Country:Romania  

  • Linear and Nonlinear Correlation between Deposition Rate and SiH Emission Intensity in SiH4 Multi-hollow Discharge Plasmas International conference

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015.7 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Iasi   Country:Romania  

  • Contribution of Clusters to SiH2 Bonds in a-Si:H Films International conference

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015.7 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Iasi   Country:Romania  

  • Position fluctuation of a fine particle optically trapped in Ar plasma International conference

    M. Shiratani, M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015.7 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Iasi   Country:Romania  

  • Third Generation Photovoltaics (Invited) Invited International conference

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    The 1st Asian Workshop on Advanced Plasma Technology and Application  2015.7 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ming Chi University of Technology   Country:Taiwan, Province of China  

  • Second and Third Generation Photovoltaics (Invited) Invited International conference

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    2015 International Forum on Functional Materials (IFFM2015)  2015.6 

     More details

    Event date: 2015.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Improvement of Agricultural Productivity utilizing Plasmas International conference

    M. Shiratani, T. Sarinont, T. Amano, K. Koga, N. Hayashi

    2015 International Forum on Functional Materials (IFFM2015)  2015.6 

     More details

    Event date: 2015.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Sputtering growth of single-crystalline ZnO films on c-sapphire substrates by using impurity-mediated crystallization method: Effects of surface morphology of buffer layers International conference

    N. Itagaki, T. Ide, K. Matsushima, T. Takasaki, D. Yamashita, H. Seo, K. Koga, Masaharu Shiratani

    2015 E-MRS Spring Meeting and Exhibit  2015.5 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Congress Center (Grand Palais), Lille   Country:France  

  • クラスタ除去によるa-Si太陽電池の光劣化抑制(招待講演) Invited

    白谷正治, 都甲将, 鳥越祥宏, 毛屋公孝, 古閑一憲

    電子情報通信学会有機エレクトロニクス研究会  2015.4 

     More details

    Event date: 2015.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大濱信泉記念館多目的ホール, 沖縄   Country:Japan  

  • Site-selective Coating of Carbon Protective Layer on Sub-micron Trenches Using Plasma CVD International conference

    M. Shiratani, X. Dong, K. Koga, N. Itagaki, H. Seo, G. Uchida

    42nd International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2015)  2015.4 

     More details

    Event date: 2015.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Town & Country Resort Hotel, San Diego  

  • Enhancement on the Photovoltaic Properties of Dye-Sensitized Solar Cells with Catalytically Activated Polymeric Counter Electrode International conference

    H. Seo, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani

    2015 MRS Spring Meeting  2015.4 

     More details

    Event date: 2015.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Francisco, California  

  • Crystalline Ge film deposition between Au catalyst film and quartz glass substrate using magnetron sputtering International conference

    M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga

    2015 MRS Spring Meeting  2015.4 

     More details

    Event date: 2015.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Francisco, California  

  • High-mobility amorphous In2O3:Sn films prepared by sputter deposition with nitrogen-mediated amorphization method International conference

    N. Itagaki, T. Takasaki, T. Nakanishi, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015.3 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Fabrication of ZnInON-based multi-quantum well solar cells by RF magnetron sputtering International conference

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015.3 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Effects of ion irradiation on mass density of carbon films deposited by Hassisted plasma CVD International conference

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015.3 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Effects of Gas Flow Rate on Deposition Rate and Amount of Si Clusters Incorporated into a-Si:H Films International conference

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015.3 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • プラズマによるナノ粒子の合成と凝集・輸送制御(招待講演) Invited

    白谷正治, 古閑一憲

    第62回応用物理学会春季学術講演会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • 窒素添加結晶化法によるc面サファイア基板上へのZnO膜の作製:歪み緩和におけるバッファー層結晶粒密度の影響

    井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第62回応用物理学会春季学術講演会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • ワイドバンドギャップ半導体ZnInONをi層に用いたpin太陽電池の作製

    松島宏一, 清水僚太, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第62回応用物理学会春季学術講演会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • 可視領域でバンドギャップチューニング可能なZnO系新材料の開発(招待講演) Invited

    板垣奈穂, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治

    第62回応用物理学会春季学術講演会  2015.3 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • Effects of atmospheric pressure DBD plasma irradiation on growth of silkworms International conference

    T. Amano, T. Sarinont, K. Koga, M. Shiratni

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University   Country:Japan  

  • Photovoltaic application of Si nano-particles fabricated by underwater discharge (Invited) Invited International conference

    H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University   Country:Japan  

  • Realization of highly stable a‐Si:H film by using cluster eliminating filter International conference

    Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga and M. Shiratani

    The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Raj, Cerkljena Gorenjskem   Country:Slovenia  

  • Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas International conference

    Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:TKP HAKATAEKIMAE Meeting Room, Fukuoka   Country:Japan  

  • Gas Residence Time Effects of Cluster Incorporation into a-Si:H Films International conference

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:TKP HAKATAEKIMAE Meeting Room, Fukuoka   Country:Japan  

  • Fabrication of strained-induced ZnInON-based multi-quantum well solar cells by RF magnetron sputtering International conference

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:TKP HAKATAEKIMAE Meeting Room, Fukuoka   Country:Japan  

  • Fabrication of Si nanoparticles using plasmas in liquid for solar cell applications International conference

    S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015.1 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:TKP HAKATAEKIMAE Meeting Room, Fukuoka   Country:Japan  

  • プラズマCVDで作製されたa-Si:H膜中Si-H2結合形成に対するクラスター混入と表面反応の寄与

    都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVD法で作製したナノ結晶シリコン薄膜を用いた光学バンドギャップ制御

    金光善徳, 古閑一憲, 山下大輔, 鎌滝普礼, 徐鉉雄, 板垣奈穂, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマ・壁相互作用により発生したダストの容器壁堆積のその場検出

    立石瑞樹, 片山龍, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • シランマルチホロー放電の発光強度の圧力依存性

    鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • RFマグネトロンスパッタリングと金薄膜触媒を用いた低温高速層交換Ge結晶成長

    市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • LHD内バイアス基板への長期ダスト堆積実験

    片山龍, 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦 相良明男, LHD実験グループ

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマ照射植物種子の酸化ストレスの計測

    天野孝昭, サリノントタパナット, 古閑一憲, 白谷正治, 林信哉

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • カイコの成長に対する非平衡大気プラズマ照射の効果

    サリノントタパナット, 天野孝昭, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマナノプロセシングにおける揺らぎ抑制のための戦略

    白谷正治, 古閑一憲, 徐鉉雄, 板垣奈穂

    第24回日本MRS年次大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Strategy for Suppressing Fluctuations of Plasma Nano-processing

  • Free Radical Formation by Atmospheric Pressure Plasmas for Different Gas Species

    T. Sarinont, T. Amano, K. Koga, M. Shiratani, P. Attri

    第24回日本MRS年次大会  2014.12 

     More details

    Event date: 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • マルチホロー放電プラズマCVD法で堆積したSiナノ粒子含有膜の光学バンドギャップ制御

    金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第24回日本MRS年次大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Optical Bandgap Energy Control of Nano-crystalline Si Films by using a Multi-hollow Discharge Plasma CVD Method

  • イオン液体を用いたRFスパッタリング法により作製した銀ナノ粒子サイズの圧力依存性

    天野孝昭, サリノント タパナット, 古閑一憲, 白谷正治

    第24回日本MRS年次大会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

    Pressure Dependence of Size of Silver Nanoparticles Synthesized by RF Sputtering Plasma Irradiation to Ionic Liquid

  • Synthesis of indium-containing nanoparticle using plasmas in liquid for evaluating their kinetics in living body

    天野孝昭, サリノント タパナット, 古閑一憲, 平田美由紀, 田中昭代, 白谷正治

    平成26年度応用物理学会九州支部学術講演会  2014.12 

     More details

    Event date: 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • 窒素添加スパッタリング法による高移動度アモルファスIn2O3:Sn 膜の作製

    高崎俊行, 中西貴彦, 山下大輔, 徐鉱雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成26年度応用物理学会九州支部学術講演会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • マルチホロー放電の上流領域に輸送された クラスタ量の水素希釈の効果

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度応用物理学会九州支部学術講演会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • プラズマ中に光捕捉した微粒子の挙動解析

    添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度応用物理学会九州支部学術講演会  2014.12 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • Evaluaion of Si-H2 bond formation of a-Si:H film using cluster eliminating filter

    鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    平成26年度応用物理学会九州支部学術講演会  2014.12 

     More details

    Event date: 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • Contributions of ion irradiation to the characteristics of a-C:H films deposited by H-assisted plasma CVD method

    董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    平成26年度応用物理学会九州支部学術講演会  2014.12 

     More details

    Event date: 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大分大学   Country:Japan  

  • Multigeneration Study of Effects of Plasma Irradiation to Seeds of Arabidopsis Thaliana and Zinnia International conference

    M. Shiratani, T. Sarinont, T. Amano, K. Koga

    2014 MRS Fall Meeting  2014.12 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • Morphology Control of Buffer Layers for Growth of Single-Crystalline ZnO Films on Lattice Mismatched Substrates International conference

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2014 MRS Fall Meeting  2014.12 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • Fabrication of Strained Multi-Quantum Well Solar Cells Utilizing ZnInON International conference

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2014 MRS Fall Meeting  2014.12 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • Effects of Atmospheric Air Plasma Irradiation to Plant Seeds on Chlorophyll and Carotenoids in Plant International conference

    T. Sarinont, T. Amano, K. Koga, M. Shiratani, N. Hayashi

    2014 MRS Fall Meeting  2014.12 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • Sputtering Growth of Pseudobinary ZnO-InN Alloys with Tunable Band Gap for Application in Multi-Quantum Well Solar Cells International conference

    N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    2014 MRS Fall Meeting  2014.12 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • Performance Dependence of Perovskite Solar Cells on the Ratio of Lead Iodide and Methylammonium Iodide International conference

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2014 MRS Fall Meeting  2014.12 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center, Boston  

  • スパッタリング成膜法による高品質酸化亜鉛薄膜の形成

    板垣奈穂, 古閑一憲, 白谷正治

    第30回 九州・山口プラズマ研究会  2014.11 

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ラグナガーデンホテル、沖縄   Country:Japan  

  • Synthesize of ZnO-based Semiconductor with Tunable Band Gap and Its Application in Multi-Quantum-Well Solar Cells International conference

    N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, and M. Shiratani

    6th World Conferenceon Photovoltaic Energy Conversion  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto International Conference Center   Country:Japan  

  • Effects of Gas Residence Time on Amount of Si Clusters Incorporated into a-Si:H Films International conference

    S. Toko, Y. Torigoe, Y. Kanemitu, H. Seo, N. Itagaki, K. Koga and M. Shiratani

    6th World Conferenceon Photovoltaic Energy Conversion  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto International Conference Center   Country:Japan  

  • Optical Analysis on Perovskite Films According to CH3NH3I and PbI2 Concentration International conference

    H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga and M. Shiratani

    6th World Conferenceon Photovoltaic Energy Conversion  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto International Conference Center   Country:Japan  

  • Adverse Health Effects of Indium Tin Oxide and Copper Indium Gallium Diselenide International conference

    A. Tanaka, M. Hirata, K. Koga, M. Shiratani, M. Nakano, K. Omae and Y. Kiyohara

    6th World Conferenceon Photovoltaic Energy Conversion  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto International Conference Center   Country:Japan  

  • Non-Equilibrium and Extreme State -High-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization- International conference

    N. Itagaki, T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    Plasma Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Toki Messe, Niigata   Country:Japan  

    Non-equilibrium and extreme state: high-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization

  • Fabrication of crystalline Ge films using RF sputtering and metal catalyst International conference

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Plasma Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Toki Messe, Niigata   Country:Japan  

    Fabrication of crystal Ge films using RF sputtering and metal catalyst

  • In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall International conference

    M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    Plasma Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Toki Messe, Niigata   Country:Japan  

    In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall

  • Effects of dust deposition on optical characteristics of substrates International conference

    R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    Plasma Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Toki Messe, Niigata   Country:Japan  

    Effects of dust deposition on optical characteristics of substrates

  • Fabrication of Ge films for applying solar cells using RF magnetron sputtering and gold film catalyst International conference

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Global Photovoltaic Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Quantum characterization of Si nano-particles fabricated by multi-hollow discharge plasma chemical vapor deposition International conference

    H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Global Photovoltaic Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Improvement on charge transportation of Si quantum dot-sensitized solar cell using vanadium doped TiO2 International conference

    H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. H. Nam, J. H. Boo

    Global Photovoltaic Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Fabrication of Si nanoparticles using plasmas in water for organic/inorganic hybrid solar cells International conference

    S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Global Photovoltaic Conference 2014  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Novel method of Ge crystalline thin film deposition on SiO2 by sputtering International conference

    M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga

    67th Annual Gaseous Electronics Conference  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Raleigh Convention Center, North Carolina  

  • Modulation frequency dependence of bispectrum of laser light scattering intensity from nanoparticles formed in reactive plasmas International conference

    T. Ito, D. Yamashita, H. Seo, K. KamatakiI, N. Itagaki, K. Koga, M. Shiratani

    67th Annual Gaseous Electronics Conference  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Raleigh Convention Center, North Carolina  

  • Cluster Incorporation Control by Hydrogen Silane Mixture in Multi Hollow Discharge Plasma CVD International conference

    S. Toko, Y. Torigoe, Y. Kanemitsu, H. Seo, K. Koga, M. Shiratani

    67th Annual Gaseous Electronics Conference  2014.11 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Raleigh Convention Center, North Carolina  

  • Single Fine Particle Trapped in Ar Plasma by Optical Tweezers (Invited) Invited International conference

    M. Shiratani, T. Ito, M. Soejima, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki

    15th Workshop on Fine Particle Plasmas  2014.10 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute for Fusion Science, Toki   Country:Japan  

  • Development of an in-situ Detection Method of Dust Particles Deposited on Vessel Wall Using Quartz Crystal Microbalances International conference

    M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    15th Workshop on Fine Particle Plasmas  2014.10 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute for Fusion Science, Toki   Country:Japan  

  • Size-controlled Ge Nanoparticle Synthesis and Their Application to Quantum-dot Solar Cells International conference

    G. Uchida, D. Ichida, H. Seo, S. Hashimoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th Workshop on Fine Particle Plasmas  2014.10 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute for Fusion Science, Toki   Country:Japan  

  • Effects of Dust Deposition on Optical Characteristics of Mirrors Installed on First Wall in LHD International conference

    R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    15th Workshop on Fine Particle Plasmas  2014.10 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute for Fusion Science, Toki   Country:Japan  

  • S i ナノ粒子を用いたハイブリッド型太陽電池の研究

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第6回薄膜太陽電池セミナー2014  2014.10 

     More details

    Event date: 2014.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:広島大学   Country:Japan  

  • 色素増感太陽電池のポリマー触媒の改善

    徐鉉雄, 橋本慎史, 市田大樹, 板垣奈穂, 古閑一憲, 白谷正治

    第6回薄膜太陽電池セミナー2014  2014.10 

     More details

    Event date: 2014.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:広島大学   Country:Japan  

  • マルチホロー放電プラズマで作製したa-Si:H膜中クラスター量の水素-シラン混合比依存性

    毛屋公孝, 都甲将, 鳥越祥宏, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第6回薄膜太陽電池セミナー2014  2014.10 

     More details

    Event date: 2014.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:広島大学   Country:Japan  

  • Nanopartilce Composite Plasma CVD Films and Some Applications (Invited) Invited International conference

    M. Shiratani, K. Koga

    2014 ECS and SMEQ Joint International Meeting  2014.10 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Moon Palace Resort, Cancun   Country:Mexico  

  • Si量子ドットを用いたハイブリッド型太陽電池の研究

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度(第67回)電気・情報関係学会九州支部連合大会  2014.9 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:鹿児島大学   Country:Japan  

  • マルチホロー放電プラズマCVDによるSi量子ドットの作製と新太陽電池への応用研究

    徐鉉雄, 橋本慎史, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度(第67回)電気・情報関係学会九州支部連合大会  2014.9 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:鹿児島大学   Country:Japan  

  • c面サファイア基板上への単結晶ZnO膜の形成 : 格子不整合系ヘテロエピタキシーにおける成長初期過程の表面形態の影響

    板垣奈穂, 井出智章, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治

    第75回応用物理学会秋季学術講演会  2014.9 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • Characteristics of cluster eliminating filter for plasma CVD

    Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani

    第75回応用物理学会秋季学術講演会  2014.9 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • Influence of Atmospheric Air Discharge Plasma Irradiation on pH of Water

    T. Sarinont, T. Amano, K. Koga, M. Shiratani, H. Nobuya

    第75回応用物理学会秋季学術講演会  2014.9 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • 酸窒化物半導体ZnInONを用いた量子井戸型太陽電池の作製

    松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第75回応用物理学会秋季学術講演会  2014.9 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • Ar/NH3プラズマによるグラファイト外包磁気ナノ微粒子のアミノ基表面修飾の最適化

    張ハン, 楊恩波, 古閑一憲, 白谷正治, 永津雅章

    第75回応用物理学会秋季学術講演会  2014.9 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  • Plasma CVD nanostructured films for energy applications (Invited) Invited International conference

    M. Shiratani, H. Seo, N. Itagaki, G. Uchida, K. Koga

    12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM)  2014.9 

     More details

    Event date: 2014.8 - 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Adelaid   Country:Australia  

  • Fabrication of tandem dye-sensitized solar cell based on mesh-type of counter electrode International conference

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    65th Annual Meeting of the International Society of Electrochemistry  2014.9 

     More details

    Event date: 2014.8 - 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ubiquitous Electrochemistry, Lausanne   Country:Switzerland  

  • Effects of hydrogen dilution to nanoparticle formation in silane hydrogen mixture multi-hollow discharge plasmas International conference

    Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, and M. Shiratani

    12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM)  2014.9 

     More details

    Event date: 2014.8 - 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Adelaid   Country:Australia  

  • Evaluation of reducing sugar of plants irradiated by air dielectric barrier discharge plasmas International conference

    T. Sarinont, T. Amano, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • RF Magnetron sputtering of low-resistive ZnO:Ga films with buffer layers fabricated via nitrogen mediated crystallization International conference

    T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Epitaxial growth of sputter-deposited ZnO films via nitrogen mediated crystallization: effects of nitrogen flow rate International conference

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Epitaxial growth of In-rich ZnInON films by RF magnetron sputtering International conference

    K. Matsuhima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Epitaxial growth of a novel widegap semiconductor ZnGaON by magnetron sputtering International conference

    R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Crystal Growth Control of Sputter-Deposited ZnO Films by Nitrogen-Mediated Crystallization Method International conference

    N. Itagaki, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Synthesis of In nanoparticles using RF sputtering for evaluating nanoparticle kinetics in living body International conference

    T. Amano, K. Koga, T. Sarinont, N. Itagaki, M. Shiratani, N. Hayashi, Y. Nakatsu, T. Tsuzuki, M. Hirata, A. Tanaka

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Si QD/PEDOT:PSS Hybrid Solar Cells Using Si Nanoparticles Fabricated by Multi-Hollow Plasma CVD International conference

    S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Real Time Deposition Measurement of Dust Particles Generated by Plasma-Wall Interaction International conference

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Hydrogen Concentration Associated with Si-H2 Bonds in Films Deposited by High Frequency Multi-Hollow Discharge Plasma CVD Method International conference

    Y. Torigoe, Y. Hashimoto, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Effects of Substrate Temperature on Defect Density of Ge Nanoparticle Films International conference

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Raman spectroscopy of optically trapped single fine particle in plasmas International conference

    T. Ito, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Hysteresis of Cluster Amount in Multi-Hollow Discharge Plasma CVD Method International conference

    S. Toko, Y. Hasahimoto, Y. Kanemitsu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Stability of nanoparticle growth processes in reactive plasmas International conference

    M. Shiratani, H. Seo, K. Koga, N. Itagaki

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Counter electrode based on conductive polymer for cost-effective dye-sensitized solar cells International conference

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014.8 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka University   Country:Japan  

  • Photovoltaic Application of Polymer: Dye-sensitized Solar Cell and Organic/inorganic Hybrid Solar Cell (Invited) Invited International conference

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014)  2014.7 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gunsan Saemangeum Convention Center, Gunsan   Country:Korea, Republic of  

  • Long term effects of atmospheric air plasma irradiated on seeds of Zinnia International conference

    T. Sarinont, T. Amano, K. Koga, and M. Shiratani

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014)  2014.7 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gunsan Saemangeum Convention Center, Gunsan   Country:Korea, Republic of  

  • Atmospheric Pressure DBD Plasma Irradiation to Seeds of Glycine max (L.)Merrill and Vigna radiata International conference

    T. Amano, T. Sarinont, K. Koga, and M. Shiratani

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014)  2014.7 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gunsan Saemangeum Convention Center, Gunsan   Country:Korea, Republic of  

  • Suppression of Cluster Incorporation into a-Si:H Films Using a Cluster Eliminating Filter International conference

    Y. Torigoe, C. Weiting, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014)  2014.7 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gunsan Saemangeum Convention Center, Gunsan   Country:Korea, Republic of  

  • Plasma agriculture: what plasma can do for agriculture (Invited) Invited International conference

    M. Shiratani and K. Koga

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NFRI Plasma Technology Research Center, Gunsan   Country:Korea, Republic of  

  • Pulmonary Toxic Effects of Indium-Tin Oxide Nanoparticles in Rats International conference

    Y. Nakatsu, T. Tsuzuki, A. Tanaka, M.Hirata, K. Koga, and M. Shiratani

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NFRI Plasma Technology Research Center, Gunsan   Country:Korea, Republic of  

  • Production of indium nanoparticles for nano-safety evaluation International conference

    T. Amano, K. Koga, M. Shiratani, and A. Tanaka

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014.7 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NFRI Plasma Technology Research Center, Gunsan   Country:Korea, Republic of  

  • Photovoltaic Properties of Organic/Inorganic Hybrid Solar Cells with Improved Electrode International conference

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2014 International Symposium on Green Manufacturing and Applications (ISGMA 2014)  2014.6 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paradise Hotel, Busan   Country:Korea, Republic of  

  • Indium nanoparticle synthesis using plasmas in water for nanoparticle transport analysis in living body International conference

    T. Amano, K. Koga, M. Shiratani, and A. Tanaka

    26th Symposium on Plasma Physics and Technology  2014.6 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Czech Technical University, Prague  

  • プラズマ CVD で作成したGe半導体薄膜の光電特性

    市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度九州表面・真空研究会2014(兼第19回九州薄膜表面研究会)  2014.6 

     More details

    Event date: 2014.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡教育大学   Country:Japan  

  • Dust collection with dc-biased substrates in large helical device International conference

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    21th International Conference on Plasma Surface Interactions (PSI2014)  2014.5 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ishikawa Ongakudo, Kanazawa   Country:Japan  

  • Enhancement of food energy efficiency using plasmas (Invited) Invited International conference

    M. Shiratani, T. Sarinont, T. Amano, K. Koga, S. Kitazaki, and N. Hayashi

    5th International Conference on Plasma Medicine (ICPM5)  2014.5 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nara Prefectural New Public Hall, Nara   Country:Japan  

  • Preservation of Growth Enhancement of Plants after Atmospheric Pressure DBD Plasma Irradiation International conference

    T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, and M. Shiratani

    5th International Conference on Plasma Medicine (ICPM5)  2014.5 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nara Prefectural New Public Hall, Nara   Country:Japan  

  • Effects of ambient gas species for plasma irradiation to seeds on plant growth promotion International conference

    T. Sarinont, T. Amano, K. Koga, and M. Shiratani

    5th International Conference on Plasma Medicine (ICPM5)  2014.5 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nara Prefectural New Public Hall, Nara   Country:Japan  

  • In-situ Measurements of Volume Fraction of cDusters in Films During Plasma CVD International conference

    M. Shiratani, S. Toko, K. Koga, N. Itagaki, H. Seo

    International Conference on Metallurgical Coatings and Thin Films(ICMCTF 2014)  2014.5 

     More details

    Event date: 2014.4 - 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Town & Country Resort Hotel, San Diego  

  • Si/SiC coreshell nanoparticle composite anode for Li ion batteries International conference

    M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    2014 MRS Spring Meeting  2014.4 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Francisco, California  

  • ナノ粒子含有振幅変調放電プラズマ中のAr準安定原子密度

    白谷正治, 古閑一憲, 森田康彦, 伊東鉄平, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂

    日本物理学会 第69回年次大会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  • 反応性プラズマ中のナノ粒子成長とプラズマ揺らぎ

    白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • 反応性プラズマにおけるナノ粒子成長のバイスペクトル解析

    森田康彦, 伊東鉄平, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲 , 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • 水素原子源付きプラズマCVDで作製した炭素薄膜の堆積速度:圧力と電極間距離への依存性

    董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • プラズマ中に光捕捉した単一微粒子を用いたプラズマと界面の相互作用評価

    伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • シランプラズマ中のクラスター量に対する振幅変調放電の効果

    都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • プラズマプロセスによるグラファイト被覆金属ナノ微粒子の表面修

    永津雅章, 楊恩波, Anchu Viswan, 張晗, 古閑一憲, 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • グラファイト外包磁気ナノ微粒子噴上げ効果を利用したAr/NH3 RFプラズマによる表面修飾の高効率化とプラズマ表面相互作用の分光計測

    張晗, 楊恩波, 古閑一憲, 白谷正治, 永津雅章

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • RFマグネトロンスパッタリング法による高In組成ZnInON膜の作製

    松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • RFスパッタリングによるInナノ粒子の作製とそのラット体内の動態

    天野孝昭, 古閑一憲, Sarinont Thapanut, 板垣奈穂, 白谷正治, 林信哉, 中津可道, 續輝久, 平田美由紀, 田中昭代

    2014年 第61回応用物理学会春季学術講演会  2014.3 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学   Country:Japan  

  • Transport of fine particles produced by interactions between H2 plasmas and carbon wall International conference

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014)  2014.3 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya   Country:Japan  

  • Growth Enhancement of Plants Due to Plasma Atmospheric Pressure Irradiation to Seeds in Liquid International conference

    T. Sarinont, K. Koga, S. Kitazaki, N. Hayashi, M. Shiratani

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014)  2014.3 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya   Country:Japan  

  • Fabrication of Qunatum-Dot Sensitized Solar Cells Using Ge Nanoparticle Films Deposited by High Pressure Rf Magnetron Sputtering Method International conference

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014)  2014.3 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya   Country:Japan  

  • Effects of Amplitude Modulation of Discharge Voltage on Volume Fraction of Clusters in Si Thin Films Depoisted by Multi-Hollow Discharge Plasma CVD International conference

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014)  2014.3 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya   Country:Japan  

  • Band gap control of nc-Si thin films deposited multi-hollow discharge plasma CVD International conference

    Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Photocurrent generation of quantum-dot sensitized solar cells using group-IV semiconductor nanoparticle films (Invited) Invited International conference

    G. Uchida, D. Ichida, S. Hashimoto, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Long Term Growth Curve of Raphanus sativus L. after Atmospheric Pressure DBD Plasma Irradiation International conference

    T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Effects of Atmospheric Air Plasma Treatments of Seeds of Oryza sativa,Raphanus sativus L. and Zinnia on Plant Growth International conference

    T. Sarinont, T. Amano, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Sputtering Fabrication of novel semiconductor ZnGaON with wide bandgap for optoelectronic devices International conference

    R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, and N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Si nano-particles: Its quantum characteristics and application for photovoltaics (Invited) Invited International conference

    H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Selective removal of clusters in silane plasmas by cluster eliminating filter International conference

    Y. Hashimoto, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Magnetron sputtering of In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization International conference

    K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization by RF Magnetron Sputtering Method International conference

    T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Flux Control of Dust Particles Generated due to Interactions between Graphite Wall and H2 Plasmas using DC Biased Substrates International conference

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Fabrication of Size-controlled Ge Nanoparticle Films Using High Pressure RF Sputtering Method for Quantum Dot Solar Cell International conference

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Fabrication of InN-rich ZnInON films for photovoltaic appplications by RF magnetron sputtering International conference

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Evaluation of Interactions between Plasmas and Interface using Optically Trapped Single Fine Particle International conference

    T. Ito, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Epitaxial growth of ZnO films on lattice mismatch substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization International conference

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Effects of Hydrogen Dilution on Structure of Ge Quantum Dot Film Deposited by Using High Pressure rf Sputtering International conference

    S. Hashimoto, D. Ichida, K. Kamataki, H. Seo, G. Uchida, N. Itagaki,, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Effects of Gas Pressure on Deposition Rate of Anisotropic Plasma CVD International conference

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Effects of Amplitude Modulation on Stability of Hydrogenated Amorphous Silicon Films Deposited using Multi-Hollow Discharge Plasma CVD Method International conference

    Y. Torigoe,Y. Hashimoto, S. Toko, D. Yamashita, N. Itagaki, H. Seo, K. Kamataki, K. Koga, M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Effects of Amplitude Modulation of Discharge Voltage on Cluster Amount in Downstream Region of Multi-Hollow Silane Discharge Plasmas Studied by Laser Light Scattering Method International conference

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Bispectrum analysis of nanoparticle growth in reactive dusty plasmas International conference

    Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:NISHIJIN PLAZA, Fukuoka   Country:Japan  

  • Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method International conference

    Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Visualization of oxidizing substances generated by atmospheric pressure non-thermal plasma jet with water International conference

    T. Kawasaki, K. Kawano, H. Mizoguchi, Y. Yano, K. Yamashita, M. Sakai, G. Uchida, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Quantum characterization and photovoltaic application of Si nano-particles fabricated by multi-hollow plasma discharge chemical vapor deposition International conference

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries International conference

    G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Epitaxial growth of ZnO films on sapphire substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization International conference

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas International conference

    M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Long term growth of radish sprouts after atmospheric pressure DBD plasma irradiation to seeds International conference

    T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Effects of growth enhancement by plasma irradiation to seeds in water International conference

    T. Sarinont, K. Koga, S. Kitazaki, M. Shiratani, N. Hayashi

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Subacute toxicity of gallium arsenide, indium arsenide and arsenic trioxide following intermittent intrantracheal instillations to the lung of rats International conference

    A. Tanaka, M. Hirata, K. Koga, N. Itagaki, M. Shiratani, N. Hayashi, G. Uchida

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Study on nitrogen desorption behavior of sputtered ZnO for transparent conducting oxide International conference

    I. Suhariadi, K. Oshikawa, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Sputtering fabrication of a novel widegap semiconductor ZnGaON for optoelectronic devices with wide bandgap for optical device International conference

    R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Sputter Deposition of Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization International conference

    T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Spatial profile of flux of dust particles in hydrogen helicon plasmas International conference

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Raman spectroscopy of a fine particle optically trapped in plasma International conference

    D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Pressure dependence of carbon film deposition using H-assisted plasma CVD International conference

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method International conference

    T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization International conference

    K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation International conference

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering International conference

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation International conference

    Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter International conference

    Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method International conference

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method International conference

    Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering International conference

    S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Sputtering growth of single-crystalline ZnO-based semiconductors on lattice mismatched substrates (Invited) Invited International conference

    N. Itagaki, K. Matsushima, I. Suhariadi, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani

    International Society for Optics and Photonics, Photonics West 2014  2014.2 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Moscone Center, San Francisco  

  • Solar cells using nanoparticles of group IV semiconductor aiming at MEG effects International conference

    G. Uchida, H. Seo, D. Ichida, N. Itagaki, K, Koga, M. Shiratani

    6th International Symposium on Innovative Solar Cells  2014.1 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo Tech Front   Country:Japan  

  • Nanoparticle composite plasma CVD films Fundamental and applications (Invited) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki

    The 9th EU-Japan Joint Symposium on Plasma Processing  2014.1 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bohinj Park ECO Hotel   Country:Slovenia  

  • 水中種子へのプラズマ照射の成長促進効果

    白谷正治, T. Sarinont, 天野孝昭, 古閑一憲, 北崎訓, 林信哉

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐世保工業高等専門学校   Country:Japan  

  • マルチホロー放電プラズマCVDにおけるa-Si:H膜中クラスター混入量に対する振幅変調放電の効果

    都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐世保工業高等専門学校   Country:Japan  

  • マグネトロンスパッタ法による窒素添加結晶化バッファー層を用いた低抵抗In2O3:Sn薄膜の作製

    押川晃一郎, 板垣奈穂, 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 山下大輔, I. Suhariadi

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐世保工業高等専門学校   Country:Japan  

  • スパッタリング法による狭ギャップ半導体ZnInON膜の高品質結晶成長

    松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐世保工業高等専門学校   Country:Japan  

  • Ga添加酸化亜鉛薄膜に対する窒素添加結晶化法を用いた酸化亜鉛バッファー層の効果

    押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐世保工業高等専門学校   Country:Japan  

  • プラズマを用いたⅣ族半導体ナノ粒子膜の堆積とそのデバイス応用 (Invited) Invited

    内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第16回プラズマエレクトロニクス分科会 プラズマ新領域研究会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大学利用施設UNITY, 神戸   Country:Japan  

  • Transport of Carbon Dust Particles Produced by Interactions between H2 Plasmas and Graphite Target

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, LHD Experimental Group

    14th Workshop on Fine Particle Plasmas  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:National Institute for Fusion Science, Toki   Country:Japan  

  • Time evolution of spatial profile of nanoparticle amount in amplitude modulated capacitively coupled reactive plasmas

    M. Shiratani, K. Koga, Y. Morita, S. Iwashita, H. Seo, K. Kamataki, N. Itagaki, G. Uchida

    23rd Annual Meeting of MRS-JAPAN 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Quantum characteristics of crystalline Si nano-particles and their application for photochemical solar cells (Invited) Invited

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    23rd Annual Meeting of MRS-JAPAN 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of Water on Interaction between Plant Growth and Plasma

    T. Sarinont, K. Koga, S. Kitazaki, M. Shiratani, N. Hayashi

    23rd Annual Meeting of MRS-JAPAN 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Morphological Characterization of ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization: Effects of Substrate Temperature

    I. Suhariadi, K. Oshikawa, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    23rd Annual Meeting of MRS-JAPAN 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Magnetron sputtering of low-resistive transparent conductive oxide films with double buffer layers fabricated via nitrogen mediated crystallization

    押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    23rd Annual Meeting of MRS-JAPAN 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Effects of Amplitude Modulation of Discharge Voltage of Multi-Hollow Silane Discharges on Amount of Clusters Formed in the Discharges

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    23rd Annual Meeting of MRS-JAPAN 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • Deposition of Ge nanoparticle composite films and their application to solar cells

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    23rd Annual Meeting of MRS-JAPAN 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama Port Opening Plaza   Country:Japan  

  • プラズマ中の活性酸素種による植物のレドックス状態変化と成長促進効果

    林信哉, 内田詳平, 小野大帝, 北崎訓, 古閑一憲, 白谷正治

    プラズマ・核融合学会 第30回年会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • グラフェン外包磁気ナノ微粒子の表面化学修飾におけるRFプラズマ中への微粒子導入の効果

    張晗, 楊恩波, 高田昇治, 内田儀一郎, 古閑一憲, 白谷正治, 永津雅章

    プラズマ・核融合学会 第30回年会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • 水素プラズマとグラファイト壁の相互作用により発生するダスト粒子の輸送

    立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 第30回年会  2013.12 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Novel metal oxinitride materials for optoelectronic applications (Invited) Invited International conference

    M. Shiratani, N. Itagaki., K. Matsushima, R. Shimizu, H. Seo, K. Koga

    2013 EMN Fall Meeting  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Orland, Florida  

  • Nanostructure control of Si-based solar cells using plasma CVD (Invited) Invited International conference

    M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, K. Kamataki

    THERMEC 2013  2013.12 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Las Vagas  

  • RFマグネトロンスパッタリング法を用いて作製したGeナノ粒子膜の特性

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013.12 

     More details

    Event date: 2013.11 - 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 種子への大気圧DBDプラズマ照射後のカイワレ大根成長の時間推移

    天野孝昭, サリノント タパナット, 北﨑訓, 古閑一憲, 白谷正治, 林信哉

    平成25年度応用物理学会九州支部学術講演会  2013.11 

     More details

    Event date: 2013.11 - 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 酸素プラズマ照射による植物細胞の抗酸化活性変化

    林信哉, 小野大帝, 内田祥平, 北崎訓, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013.11 

     More details

    Event date: 2013.11 - 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • プラズマジェットから発生する酸化性物質の可視化

    山下佳亮, 河野航大, 溝口博士, 矢野裕士, 川崎敏之, 坂井美穂, 内田儀一郎, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013.11 

     More details

    Event date: 2013.11 - 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • マグネトロンスパッタ法による格子不整合基板上へのエピタキシャルZnO膜の作製:窒素添加結晶化バッファー層の効果

    井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成25年度応用物理学会九州支部学術講演会  2013.11 

     More details

    Event date: 2013.11 - 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 反応性プラズマ中で発生するナノ粒子量の時空間分布

    伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013.12 

     More details

    Event date: 2013.11 - 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 振幅変調マルチホロー放電プラズマCVD法を用いた 水素化アモルファスシリコン薄膜の作製

    鳥越祥宏, 橋本優史, 都甲将, 金淵元, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013.12 

     More details

    Event date: 2013.11 - 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • Control of plasma CVD films containing group IV nanoparticles (Invited) Invited International conference

    M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga

    International Conference on Surface Engineering (ICSE 2013)  2013.11 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Haeundae Grand Hotel   Country:Korea, Republic of  

  • Performance enhancement of Si quantum dot-sensitized solar cells based on Si functionalization and multi-layered structure International conference

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    International Conference on Surface Engineering (ICSE 2013)  2013.11 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Haeundae Grand Hotel   Country:Korea, Republic of  

  • 高効率太陽電池のための狭バンドギャップZnInON膜の作成-[O]/([O]+[N])依存性-

    松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    第5回薄膜太陽電池セミナー  2013.11 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 膜中クラスター退席分率のAM変調依存性

    都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第5回薄膜太陽電池セミナー  2013.11 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Fabrication of Dye and Si Quantum Dot Co-sensitized Solar Cells for Performance Enhancement International conference

    H. Seo, M.-K. Son, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 2nd International Conference on Advanced Electromaterials (ICAE 2013)  2013.11 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju   Country:Korea, Republic of  

  • Correlation between Species Generated by Atmospheric Pressure Air Plasmas and Growth Enhancement of Oryza Sativa International conference

    T. Sarinont, K. Koga, M. Shiratani

    The 6th Thailand-Japan International Academic Conference 2013  2013.11 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Osaka University   Country:Japan  

  • シート状プラズマジェットの照射領域制御とその評価

    川崎敏之, 坂井美穂, 内田儀一郎, 古閑一憲, 白谷正治

    第29回九州山口プラズマ研究会  2013.11 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:クレドホテル臼杵   Country:Japan  

  • Deposition of Size-controlled Ge Nanoparticle Film by Highpressure rf Magnetron Sputtering for Quantum Dot Solar Cells International conference

    D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    AVS 60th International Symposium and Exhibition  2013.10 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Long Beach, California  

  • PS+TF-MoA11 Two-dimensional Growth of Novel ZnO based Semiconductor ZnInON with Tunable Bandgap by Magnetron Sputtering International conference

    K. Matsushima, R. Shimizu, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    AVS 60th International Symposium and Exhibition  2013.10 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Long Beach, California  

  • Photocurrent Generation Characteristics of Ge Quantum-Dot Solar Cells International conference

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    AVS 60th International Symposium and Exhibition  2013.10 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Long Beach, California  

  • Selective Removal of Clusters in Silane Plasmas Using Cluster Eliminating Filter International conference

    Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 23rd International Photovoltaic Science and Engineering Conference  2013.10 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei International Convention Center   Country:Taiwan, Province of China  

  • Pulmonary toxicity of copper indium gallium diselenide particles in rats International conference

    A. Tanaka, M. Hirata, K. Koga, N. Hayashi, M. Shiratani and Y. Kiyohara

    The 6th International Symposium on Nanotechnology, Occupational and Environmental Health  2013.10 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

  • The performance enhancement of dye and quantum dot hybrid nanostructured solar cell with barrier coating International conference

    H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Taiwan Associatoin for Coatings and Thin Films Technology  2013.10 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Grand Hotel Taipei   Country:Taiwan, Province of China  

  • Cluster control plasma CVD for fabrication of stable a-Si:H solar cells International conference

    M. Shiratani, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, N. Itagaki, K. Koga

    66th Annual Gaseous Electronics Conference  2013.10 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Princeton, New Jersey  

  • Sputtering deposition of ZnGaInON with tunable bandgap for photovoltaics International conference

    R. Shimizu, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    66th Annual Gaseous Electronics Conference  2013.10 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Princeton, New Jersey  

  • Cluster incorporation during amplitude modulated VHF discharge silane plasmas International conference

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    66th Annual Gaseous Electronics Conference  2013.10 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Princeton, New Jersey  

  • Ar/N2 Magnetron Sputtering Discharges to Control Growth of Transparent Conducting Oxide Films International conference

    K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    66th Annual Gaseous Electronics Conference  2013.10 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Princeton, New Jersey  

  • ナノ粒子含有プラズマ系におけるナノ粒子成長

    白谷正治, 森田康彦, 岩下伸也, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 鎌滝晋礼

    日本物理学会2013年秋季大会  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:徳島大学(常三島キャンパス)   Country:Japan  

  • Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    第7回プラズマエレクトロニクスインキュベーションホール  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • マルチホロー放電プラズマCVD法で堆積したSiナノ粒子膜の光学的バンドギャップ制御

    金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第7回プラズマエレクトロニクスインキュベーションホール  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • ハイスピードカメラを用いたナノ粒子成長プロセスの観測

    伊東鉄平, 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第7回プラズマエレクトロニクスインキュベーションホール  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • シリコン量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第7回プラズマエレクトロニクスインキュベーションホール  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • Photocarrier generation in quantum-dot sensitized solar cells using Ge nanoparticle films International conference

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Solid State Devices and Materials 2013 (SSDM)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hilton Fukuoka Sea Hawk   Country:Japan  

  • Crystallinity Control of Sputtered ZnO:Al Transparent Conducting Films by Utilizing Buffer Layers Fabricated via Nitrogen Mediated Crystallization International conference

    N. Itagaki, K. Oshikawa, I. Suhariadi, K. Matsushima, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani

    Solid State Devices and Materials 2013 (SSDM)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hilton Fukuoka Sea Hawk   Country:Japan  

  • Plasma Etching of Single Fine Particle Trapped By Optical Tweezers

    M. Shiratani, D. Yamashita, K. Koga, K. Kamataki, N. Itagaki, G. Uchida

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Time evolution of growth enhancement effects of radish sprouts due to atmospheric pressureplasma irradiation

    T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Control of the area irradiated by the sheet-type plasma jet in atmospheric pressure

    T. Kawasaki, M. Sakai, G. Uchida, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Time Evolution of Ar Emission Intensities of Ar + H2 + Toluene Plasmas in H-assistedPlasma CVD Reactor

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Production of SiC nanoparticles for Li-ion battery applications by using a high pressuremulti-hollow discharge plasma CVD

    G. Uchida, D. Ichida, Y. Kanemitsu, H. Seo, K. Kamataki, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Effects of RF power on structure of Ge nanoparticle films deposited by high-pressure RFmagnetron sputtering method

    D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Effects of filter gap of cluster eliminating filter on cluster eliminating efficiency

    Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Dependence of Volume Fraction of Si Clusters in Films on Amplitude Modulation Frequencyin Multi-Hollow Discharge Plasma CVD Method

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Amplitude modulation frequency dependence of nanoparticle amount in plasmas

    Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • Synthesis and Characterization of Oxynitride Semiconductor ZnInON with Tunable Bandgap

    N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G.Uchida, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Centennial Hall Kyushu University School of Medicine   Country:Japan  

  • 反応性プラズマにおけるナノ粒子量空間分布の変調周波数依存性

    森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学   Country:Japan  

  • Performance Dependence of Si Quantum Dot-Sensitized Solar Cells on Counter Electrode International conference

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2013 JSAP-MRS Joint Symposia  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Doshisha University   Country:Japan  

  • Effects of Atmospheric Air Plasma Irradiation on pH of Deionized Water International conference

    T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, M. Shiratani and N. Hayashi

    2013 JSAP-MRS Joint Symposia  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Doshisha University   Country:Japan  

  • マルチホロー放電プラズマからのクラスター流出量のガス流速依存性

    都甲将, 金淵元, 橋本優史, 金光善徳, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学   Country:Japan  

  • RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInON膜の作製-Ar分圧依存性-

    松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学   Country:Japan  

  • Combinatorial Method of Plasma Irradiation to Seeds of Raphanus sativus L. International conference

    M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Hayashi

    2013 JSAP-MRS Joint Symposia  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Doshisha University   Country:Japan  

  • シラン放電プラズマ初期のクラスター発生と膜への取り込み

    橋本優史, 都甲将, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学   Country:Japan  

  • RFスパッタリング法を用いた結晶Geナノ粒子膜の堆積

    市田大樹, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013.9 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学   Country:Japan  

  • Band-Gap Engineering of ZnO Based Semiconductors Deposited by Sputtering International conference

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    2013 JSAP-MRS Joint Symposia  2013.9 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Doshisha University   Country:Japan  

  • Contribution of ionic deposition precursors to Si thin film deposition International conference

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    Dry Process Symposium 2013  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Effects of amplitude modulation of rf discharge voltage on silane plasmas in initial phase International conference

    Y. Kim, S. Toko, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    Dry Process Symposium 2013  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ramada Plaza Jeju Hotel, Jeju   Country:Korea, Republic of  

  • Deposition of Ge nanoparticle films by high-pressure rf magnetron sputtering method for quantum dot solar cells International conference

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Characteristics of GeSn nanoparticle films deposition by high-pressure rf magnetron sputtering method International conference

    D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Performance Enhancement of Si Quantum Dot-sensitized Solar Cell Using vanadium Doped TiO2 International conference

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. Nam, J. Boo

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Tuning Size Distribution of Nanoparticles Formed in Reactive Plasmas using Plasma Parameter Modulation International conference

    M. Shiratani, Y. Morita, S. Iwashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Safety Issues on Plasma Life Sicences (Invited) Invited International conference

    M. Shiratani, K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki, G. Uchida

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Time evolution of spatial profile of nanoparticle amount in reactive plasmas International conference

    Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Sputtering Fabrication of ZnInON films with Tunable Bandgap for Heterojunction Solar Cells International conference

    R. Shimizu, K. Matsushima, Tadashi Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, and N. Itagaki

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Effects of atmospheric air plasma treatments of seeds on plant growth International conference

    T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani and N. Hayashi

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Coreshell nanoparticles generated by plasma CVD and their applications to Li ion batteries International conference

    M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga

    21st International Symposium on Plasma Chemistry (ISPC21)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Cairns   Country:Australia  

  • Observation of nanoparticle growth process using high speed camera International conference

    Y. Morita, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, D. Yamashita and M. Shiratani

    21st International Symposium on Plasma Chemistry (ISPC21)  2013.8 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Cairns   Country:Australia  

  • Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas International conference

    M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Deposition of carbon films on PMMA using H-assisted plasma CVD International conference

    X. Dong, R. Torigoe, K. Koga, G. Uchida, M. Shiratani, Y. Setsuhara, K. Takenaka, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Study on the crystal growth mechanism of ZnO films fabricated via nitrogen mediated crystallization International conference

    I. Suhariadi, K. Oshikawa, H. Seo, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Effects of plasma incident angle of dust production due to interactions between hydrogen plasmas and carbon wall International conference

    M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group d, S. Bornholdte, H. Kersten

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Effects of grid DC bias on incorporation of Si clusters into amorphous silicon films by multi-hollow discharge plasma CVD International conference

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Correlation between Species Generated by Atmospheric Pressure Air Plasmas and Growth Enhancement of Oryza Sativa International conference

    T. Sarinont, S. Kitazaki, K. Koga, G.u Uchida, M. Shiratani, T. Murakami, N. Hayashi

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Transport of nanoparticles produced in reactive plasmas using a positively-biased collector International conference

    Y. Morita, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Infrared Laser Manipulation of Single Fine Particle Levitated at Plasma/Sheath Boundary International conference

    D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Effects of H2 gas addition on structure of Ge nanoparticle films deposited by high-pressure rf magnetron sputtering method International conference

    D. Ichida, G. Uchida, H. Seo, K. Kamatakia, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Combinatorial plasma CVD of Si nanoparticle composite films for band gap control International conference

    Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari, Chiba   Country:Japan  

  • Layer by layer deposition of ZnO buffer layers fabricated via nitrogen mediated crystallization for ZnO:Al transparent conducting oxide International conference

    I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    International Symposium on Sputtering and Plasma Processes (ISSP2013)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Research Park   Country:Japan  

  • Sputter Deposition of Single Crystal ZnO Films on 18% Lattice mismatched c-Al2O3 Substrates via Nitrogen Mediated Crystallization International conference

    N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, and M. Shiratani

    International Symposium on Sputtering and Plasma Processes (ISSP2013)  2013.7 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Research Park   Country:Japan  

  • Combinatorial Approach to Plasma Biosciences (Invited) Invited International conference

    M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, and N. Hayashi

    2013 International Forum on Functional Materials (IFFM2013)  2013.6 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Jeju Hotel   Country:Korea, Republic of  

  • Analysis on the paint-type Si quantum dot-sensitized solar cells International conference

    H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    International Symposium on Green Manufacturing and Applecations  2013.6 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawai  

  • Control of nanostructure of plasma CVD Si thin films (Invited) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, and K. Kamataki

    Japan-Australia Workshop on Gaseous Electronics and Its Applications (JAWS25)  2013.6 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Australian National University   Country:Australia  

  • Dust flux control in high density hydrogen plasmas using DC biased substrates International conference

    M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013.5 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • Advance in quantum dot-sensitized solar cells using group IV semiconductor nano-particles (Invited) Invited International conference

    H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013.5 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • Advanced plasma sources and processes for energy harvesting devices (Invited) Invited International conference

    M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and K. Koga

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013.5 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • Volume fraction of clusters in Si thin films in initial deposition phase of plasma CVD International conference

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013.5 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • 高効率太陽電池の実現に向けた新規酸窒化物半導体ZnInONのバンドギャップエンジニアリング

    板垣奈穂, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第10回 「次世代の太陽光発電システム」シンポジウム  2013.5 

     More details

    Event date: 2013.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • Nanostructure of plasma CVD films containing nanoparticles (Invited) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki

    International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2013)  2013.4 

     More details

    Event date: 2013.4 - 2013.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Diego, CA  

  • Silicon cluster volume fraction of silicon thin films prepared by multi-hollow plasma discharge CVD

    金淵元, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2013年第60回応用物理学会春季学術講演会  2013.3 

     More details

    Event date: 2013.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInONの作製

    松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    2013年第60回応用物理学会春季学術講演会  2013.3 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • スパッタ法によるC面サファイア基板上への原子平坦ZnO薄膜の作製

    板垣奈穂, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    2013年第60回応用物理学会春季学術講演会  2013.3 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • 大気圧プラズマジェットによる殺菌への供給ガスの影響

    川崎敏之, 佐藤京祐, 森崎久志, 馬塲啓, 梅田翔一, 坂井美穂, 内田儀一郎, 古閑一憲, 白谷正治

    2013年第60回応用物理学会春季学術講演会  2013.3 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • Siナノ粒子膜を用いたSi/PEDOT:PSS太陽電池の特性評価

    内田儀一郎, 王玉亭, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    2013年第60回応用物理学会春季学術講演会  2013.3 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • Control of distribution and transport of μm-sized dust particles in a CCRF discharge via the Electrical Asymmetry Effect International conference

    S. Iwashita, E. Schüngel, J. Schulze, P. Hartmann, Z. Donkó, G. Uchida, K. Koga, M. Shiratani, U. Czarnetzki

    Jena 2013  2013.2 

     More details

    Event date: 2013.2 - 2013.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Friedrich-Schiller-University of Jena   Country:Germany  

  • Fluctuation in Plasma Processes (Invited) Invited International conference

    M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo

    6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013)  2013.2 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:下呂交流会館   Country:Japan  

  • Growth promotion of Raphanus sativus L. using a combinatorialplasma irradiation method International conference

    S. Kitazaki, T. Sarinont, K. Koga, M.Shiratani, N. Hayashi

    The 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013)  2013.2 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:下呂交流会館   Country:Japan  

  • Dust and Dust Manipulation in Capacitively Coupled Plasmas (Invited) Invited International conference

    U. Czarnetzki, S. Iwashita, E. Schüngel, S. Mohr, J. Schulze, P. Hartman, Z. Donkó, G. Uchida, K. Koga, M. Shiratani

    The 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013)  2013.2 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:下呂交流会館   Country:Japan  

  • Sputter deposition of zinc-indium oxynitride semiconductors with narrow bandgap for excitonic transistors International conference

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Substrate temperature dependence of silcion cluster volume fraction in silicon thin films measured with quartz crystal microbalances International conference

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Time evolution of nanoparticle size in reactive plasmas: comparison between theory and experiments International conference

    M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Spatio-temporal structure of growth of nano-particles with without amplitude modulation in reactive plasmas International conference

    K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Dependence of performance of p-i-n a-Si:H solar cells using stable a-Si:H films on distance between discahrges and substrate International conference

    K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Growth Enhancement of Plants using Atmospheric Pressure Dielectric Barrier Discharge Plasmas International conference

    T. Sarinont, S. Kitazaki, G. Uchida, K. Koga, M. Shiratani, N. Hayashi

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Antioxidative activity of plant and regulation of plant growth induced by oxygen radicals International conference

    N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.1 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • The improvement on the electron transfer of dye-sensitized solar cell using vanadium doped TiO2 International conference

    H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Sanghoon Nam and Jin-Hyo Boo

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Properties and Performance of C-Si/Si QDs/organic Heterojunction Solar Cells International conference

    Y. Wang, D. Ichida, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Evaluation of etching effects due to H+ ions on dust transport using local bias potential International conference

    K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and The LHD Experimental Group

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Energy conversion efficincy of Si nanoparticle/PEDOT:PSS qunatum-dot solar cells International conference

    G. Uchida, Y. Wang, H. Seo, D. Ichida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Effects of Nitrogen on Crystal Growth of Sputter-Deposited ZnO Films for Transparent Conducting Oxide International conference

    I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Effects of deposition temperature on properties of epitaxial ZnInON films for solar cells International conference

    K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, and N. Itagaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Dependence of Voc of a-Si:H solar cells on distance between discharge and substrate International conference

    Y. Hashimoto, K. Hatozaki, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • Cycle characteristics of lithium ion batteries using Si/SiC core-shell nanoparticle anode International conference

    Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013.2 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naogya University   Country:Japan  

  • The fabrication of paint-type Si quantum dot-sensitized solar cells and ZnS post-treatment (Invited) Invited International conference

    H. Seo, Y. wang, D. Ichida, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:自然科学研究機構 岡崎コンファレンスセンター   Country:Japan  

  • Fabrication of epitaxial ZnInON films for MQW solar cells by RF magnetron sputtering International conference

    K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:自然科学研究機構 岡崎コンファレンスセンター   Country:Japan  

  • Deposition rate dependence of silicon cluster volume fraction in silicon thin films deposited by multi-hollow plasma discharge CVD International conference

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:自然科学研究機構 岡崎コンファレンスセンター   Country:Japan  

  • Sputter deposition of atomically-flat ZnO films on lattice mismatched substrates via nitrogen mediated crystallization (Invited) Invited International conference

    N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:自然科学研究機構 岡崎コンファレンスセンター   Country:Japan  

  • Tuning nanostructures of plasma CVD films (Plenary) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:自然科学研究機構 岡崎コンファレンスセンター   Country:Japan  

  • 反応性プラズマ中の微粒子成長速度の時空間相関

    白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  • 低圧プラズマ照射による稲の成長促進

    T. Sarinont, 北崎 訓, 内田 儀一郎, 古閑 一憲, 白谷 正治, 林 信哉

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  • マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜のバンドギャップ制御

    金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  • ダブルマルチホロープラズマCVDを用いたリチウムイン電池用SiCナノ粒子膜のコンビナトリアル生成

    森田康彦, 鎌滝晋礼, 内田儀一郎, 金淵元, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  • Siナノ粒子/PEDOT:PSSを用いた量子ドット太陽電池の作製

    市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第30回プラズマプロセシング研究会(SPP-30)  2013.1 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  • Quantum yield of Si quantum dot solar cells using Si nanoparticles International conference

    G. Uchida, H. Seo, Y. Wang, D. Ichida, K. Koga, M. Shiratani

    第5回革新的太陽光発電国際シンポジウム  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:つくば国際会議場   Country:Japan  

  • Etching Effects of Hydrogen Ions on Dust Collection Using Local Bias Potential International conference

    K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    2013 International Symposium on Information Science and Electrical Engineering  2013.1 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学伊都キャンパス稲盛会館   Country:Japan  

  • 反応性プラズマとナノ構造の相互作用の長距離相関モデル

    白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 反応性プラズマ中でのナノ粒子成長の時空間分布

    森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 大型ヘリカル装置の主放電時に発生するダストの局所バイアス電圧を用いた除去

    西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVD法を用いたSiナノ粒子膜の堆積と有機・無機ハイブリッド型太陽電池への応用

    市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜の光学特性

    金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝普礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • スパッタリング法による高効率量子井戸型太陽電池のための狭バンドギャップ酸窒化物半導体の作製

    廣瀬忠史, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • SiH4マルチホロー放電プラズマの発光分光計測

    橋本優史, 波戸崎浩介, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Electrochemical impedance analysis on the additional layers for the enhancement on the performance of dye-sensitized solar cell International conference

    H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    10th International Conference on Nano-Molecular Electronics  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Awaji Yumebutai International Conference Center   Country:Japan  

  • Application of Si nanoparticles to energy devices: quantum-dot solar cells and Li ion batteris (Invited) Invited International conference

    G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, T. Ishihara, and M. Shiratani

    The 69th IUVSTA Workshop  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Mountain Krvavec, Cerklje   Country:Slovenia  

  • A simplified model of a relationship between plasma fluctuation and size distribution of nanoparticles formed in reactive plasmas

    M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    第13回微粒子プラズマ研究会  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • Transport control of dust particles in a capacitively coupled discharge via the Electrical Asymmetry Effect

    S. Iwashita, E. Sch¨unge, J. Schulze, P. Hartmann, G.Uchida, K. Koga, M. Shiratani, Z. Donk'o, U. Czarnetzki

    第13回微粒子プラズマ研究会  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • SiC/Si nanoparticle anode of lithium ion batteries fabricated using double multi-hollow plasma CVD

    Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara

    第13回微粒子プラズマ研究会  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • Dust particle formation due to interaction between deuterium helicon plasmas and graphite wall

    M. Tateishi, S. Iwashita, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    第13回微粒子プラズマ研究会  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • Dust Collection on DC Biased Substrates during Glow Discharges in the Large Helical Device

    K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagar2, and the LHD Experimental Group

    第13回微粒子プラズマ研究会  2012.12 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • Si ナノ粒子/PEDOT:PSS 量子ドット太陽電池のエネルギー変換効率

    市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成24年度応用物理学会九州支部学術講演会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • 低温スパッタリング法による高効率量子井戸型太陽電池のための新規酸窒化物半導体ZnInONの形成

    松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    平成24年度応用物理学会九州支部学術講演会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • 窒素添加結晶化バッファー層を用いた低抵抗ZnO:Al薄膜の作製: バッファー層形成時における酸素供給量の効果

    押川晃一郎, I. Suhariadi, 桑原和成, 山下 大輔, 徐 鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    平成24年度応用物理学会九州支部学術講演会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • ヘリコンプラズマ装置における捕集基板上ダストへのエッチング効果の考察

    立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    平成24年度応用物理学会九州支部学術講演会  2012.12 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • コンビナトリアルプラズマ照射による植物の成長促進

    白谷正治, 北﨑訓, 古閑一憲, 林信哉

    プラズマ・核融合学会 第29回年会  2012.11 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:春日クローバープラザ   Country:Japan  

  • 局所バイアス電圧印加基板で捕集したカーボンダスト量に対する水素プラズマエッチングの効果

    立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 第29回年会  2012.11 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:春日クローバープラザ   Country:Japan  

  • 放電電力変調反応性プラズマにおけるナノ粒子成長の時空間構造の観測

    鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 白谷正治

    プラズマ・核融合学会 第29回年会  2012.11 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:春日クローバープラザ   Country:Japan  

  • ダイバータシミュレータで発生したカーボンダストの生成と輸送の放電電力の効果

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten

    プラズマ・核融合学会 第29回年会  2012.11 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:春日クローバープラザ   Country:Japan  

  • Epitaxial Growth of ZnO Based Semiconductors via Impurity-Additive Mediated Crysallization International conference

    N. Itagaki, K. Kuwahara, K. Matsushima, T. Hirose, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    2012 MRS Fall Meeting  2012.11 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hynes Convention Center  

  • Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors International conference

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    The 34th International Symposium on Dry Process  2012.11 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Tokyo   Country:Japan  

  • Plant growth regulation and redox reactions in plants induced by oxygen radical generated by air plasma International conference

    N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    The 34th International Symposium on Dry Process  2012.11 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Tokyo   Country:Japan  

  • Growth promotion of Raphanus sativus L. and Oryza sativa using a combinatorial plasma irradiation method International conference

    S. Kitazaki, T. Sarinont, K. Koga, M. Shiratani, N. Hayashi

    The 34th International Symposium on Dry Process  2012.11 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Tokyo   Country:Japan  

  • Performance enhancement of c-Si/organic heterojunction solar cells by using Si quantum dots International conference

    Y. Wang, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 34th International Symposium on Dry Process  2012.11 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Tokyo   Country:Japan  

  • プラズマプロセスにおける揺らぎのダイナミックス

    鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 白谷正治

    九州山口プラズマ研究会、応物新領域研究会  2012.11 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:由布院倶楽部   Country:Japan  

  • Long-range correlation of nanoparticle growth in in low pressure reactive VHF discharge plasmas International conference

    M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga

    54th Annual Meeting of the APS Division of Plasma Physics (DPP)  2012.10 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Rhode Island Convention Center, Rhode Island  

  • Epitaxial Growth of ZnInON Films for Piezo-Electric-Field Effect MQW Solar Cells International conference

    K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    AVS 59th International Symposium & Exhibition  2012.11 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tampa Convention Center, Florida  

  • Photocarrier generation in Si quantum-dot sensitized solar cells International conference

    G. Uchida, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    AVS 59th International Symposium & Exhibition  2012.10 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tampa Convention Center, Florida  

  • Sputter deposition of atomically smooth ZnO films with buffer layers crystallized via nitrogen mediation International conference

    K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    AVS 59th International Symposium & Exhibition  2012.11 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tampa Convention Center, Florida  

  • SiH4/H2 and CH4 multi-hollow discharge plasma CVD of SiC nano-composite anode for high charge-discharge capacity lithium ion batteries International conference

    Y. Morita, K. Kamataki, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    AVS 59th International Symposium & Exhibition  2012.11 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tampa Convention Center, Florida  

  • Electrical asymmetry effect for controlling the transport of micrometer-sized particles in capacitively coupled plasmas International conference

    S. Iwashita, E. Schuengel, J. Schulze, G. Uchida, K. Koga, P. Hartmann, M. Shiratani, Z. Donko, U. Czarnetzki

    65th Annual Gaseous Electronics Conference (GEC)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Texas  

  • Impacts of plasma fluctuation on growth of nanoparticles in low pressure reactive VHF discharge plasmas International conference

    M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga

    65th Annual Gaseous Electronics Conference (GEC)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Texas  

  • Fabrication of ZnO Buffer Layers via Nitrogen-Mediated Crystallization for ZnO:Al Transparent Conducting Oxide: Effects of Oxygen Addition International conference

    I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Temporal Evolution Of Number Density Of Ar Metastable Atoms In Dusty Plasmas International conference

    G. Uchida, N. Sadegh, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Growth Control Of ZNO Nano-Rod With Various Seeds And Photovoltaic Application International conference

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Deposition of hard carbon films on the nitride pmma by plasma anisotropic CVD International conference

    R. Torigoe, T. Urakawa, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setshuhara

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Time evolution of diameter of laser trapped single dust particle in plasmas International conference

    D. Yamashita, K. Koga, S. Kitazaki, K. Kamataki, G. Uchida, N. Itagaki, M. Shiratani

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Temperature dependence of fill factor of a-Si:H Schottky cells International conference

    Y. Hashimoto, K. Hatozaki, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Sputter deposition of epitaxial ZnO films: effects of O2 partial pressure International conference

    K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • High capacity lithium ion batteries using SiC nanoparticles International conference

    Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Fabrication of epitaxial ZnInON films for solar cell application by N2/Ar sputtering International conference

    K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Zinc-Indium Oxynitride Thin Films for Multiple-Quantum–Well Solar Cells International conference

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Control of size distribution of nanoparticles produced in reactive plasmas International conference

    M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Investigation of sensitivity of yeast cell cycle to atmospheric pressure dielectric barrier discharge plasma irradiation International conference

    S. Kitazaki, T. Sarinont, K. Koga, M. Shiratani, N. Hayashi

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Germination and Seedling Growth using Atmospheric Pressure Dielectric Barrier Discharge International conference

    T. Sarinont, S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • Measurements of amount of Si clusters incorporated during film deposition using quartz crystal microbalances International conference

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012.10 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:京都大学   Country:Japan  

  • コンビナトリアル大気圧DBDプラズマ照射による植物の成長促進

    北崎訓, 古閑一憲, 白谷正治, 林信哉

    成24年度(第65回)電気関係学会九州支部連合大会  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 周期同調酵母への大気圧DBDプラズマ照射の効果

    北崎訓, 古閑一憲, 白谷正治, 林信哉

    成24年度(第65回)電気関係学会九州支部連合大会  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • Zinc-Indium Oxynitride Semiconductors for Piezo-Electric-Field Effect MQW Solar Cells International conference

    N. Itagaki, K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • In-situ Measurements of Size Distribution of Nanoparticles Formed in Reactive Plasmas Using a Laser Light Scattering Method International conference

    M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • A Theoretical Model of a Relationship between Plasma Fluctuation and Nanostructure Fluctuation International conference

    M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Selective deposition of nanoparticles to valleys of texture substrates International conference

    Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances International conference

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • High Capacity Li Ion Battery Anodes Using Silicon Carbide Nanoparticles Produced by Double Multi-Hollow Discharge Plasma CVD International conference

    K. Kamataki, M. Shiratani, T. Ishihara, H. Nagano, Y. Morita, K. Kuwahara, G. Uchida, H. Seo, N. Itagaki, K. Koga

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Deposition of Si quantum-dot thin films for solar cell applications using multi-hollow discharge plasma CVD International conference

    G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • An infrared laser trap of a single dust particle for study of plasma-surface interactions International conference

    G. Uchida, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Deposition of hard carbon films by using H-assisted plasma CVD International conference

    R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • ZnO films with buffer layers crystallized via nitrogen mediation: effects of thickness of buffer layers International conference

    K. Kuwahara, N. Itagaki, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Hydrogenated Amorphous Silicon Solar Cells Fabricated by a Multi-hollow Discharge Plasma CVD Method International conference

    K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Fabrication of ZnO Films via Nitrogen-Mediated Crystallization as Buffer Layers for ZnO:Al Transparent Conducting Oxide International conference

    I. Suhariadi, K. Oshikawa, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Fabrication of Zn-In-ON films with tunable optical bandgap for photovoltaic applications International conference

    K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Enhancement of light harvesting efficiency with multi-layered electrode of Si quantum dot-sensitized solar cells International conference

    H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Effects of V-doped TiO2 on Performance Si QDSCs International conference

    Y. Wang, J. Boo, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Deposition of B-doped a-Si:H films using a cluster eliminating filter International conference

    Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    IUMRS‐ICEM 2012  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PACIFICO YOKOHAMA   Country:Japan  

  • Si量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化

    市田大樹, 王玉亭, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第6回プラズマエレクトロニクスインキュベーションホール  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • 高効率量子井戸型太陽電池のための新規窒化物半導体薄膜の作製

    廣瀬忠史, 松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、板垣奈穂、 古閑一憲、 白谷正治

    第6回プラズマエレクトロニクスインキュベーションホール  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • プラズマ壁相互作用により発生するカーボンナノダストの生成に関する実験

    立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑 一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, the LHD Experimental Group, S. Bornholdt, H. Kersten

    第6回プラズマエレクトロニクスインキュベーションホール  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • Study on the fabrication of paint-type Si quantum dot-sensitized solar cells International conference

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    International Conference on Electronic materials and Nanotechnology for Green Environment (ENGE 2012)  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Plaza Hotel   Country:Korea, Republic of  

  • プラズマ異方性CVD による窒化レジスト上への硬質カーボン膜の製膜

    鳥越隆平, 浦川達也, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第73回応用物理学会学術講演会  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学・松山大学   Country:Japan  

  • 微粒子プラズマ中におけるAr準安定粒子密度の空間分布計測

    内田儀一郎, 鎌滝晋礼, 森田康彦, H. Seo, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治

    第73回応用物理学会学術講演会  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学・松山大学   Country:Japan  

  • 大容量リチウムイオン電池用プラズマCVD生成SiCナノ粒子負極の開発

    森田康彦, 鎌滝晋礼, 内田儀一郎, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己

    第73回応用物理学会学術講演会  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学・松山大学   Country:Japan  

  • プラズマの農業応用 -農産物殺菌から植物成長制御まで-

    林信哉, 神田康三, 大島一里, 柳生義人, 米須章, 古閑一憲, 白谷正治

    第73回応用物理学会学術講演会  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学・松山大学   Country:Japan  

  • コンビナトリアル大気圧DBDプラズマ照射による植物の成長促進

    北崎訓, T. Sarinont, 古閑一憲, 白谷正治, 林信哉

    第73回応用物理学会学術講演会  2012.9 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学・松山大学   Country:Japan  

  • Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate International conference

    N. Itagaki, I. Suhariadi, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    13th International Conference on Plasma Surface Engineering (PSE2012)  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Garmisch-Partenkirchen   Country:Germany  

  • Plasma CVD of hard carbon films on PMMA International conference

    M. Shiratani, G. Uchida, K. Koga, R. Torigoe, T. Urakawa

    2012 International Conference on Flexible and Printed Electronics (ICFPE2012)  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東京大学本郷キャンパス   Country:Japan  

  • Growth Enhancement of Plants by Combinatorial Plasma Irradiation International conference

    M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, N. Hayashi

    The 9th International Bioelectrics Symposium (BIOELECTRICS 2012)  2012.9 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:KKR Hotel Kumamoto   Country:Japan  

  • The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si International conference

    H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki and M. Shiratani

    International Union of Materials Research Society – International Conference in Asia – 2012 (IUMRS-ICA-2012)  2012.8 

     More details

    Event date: 2012.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO   Country:Korea, Republic of  

  • Nanostructure control of thin films depoisted by plasmas and its application to fabrication of green energy devices (Invited) Invited International conference

    M. Shiratani, N. Itagaki, K. Koga, Uchida G., K. Kamataki, H. Seo

    (APT2012) The 2nd Advanced Plasma Technology for Green Energy and Biomedical Applications  2012.8 

     More details

    Event date: 2012.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Chiangmai University   Country:Thailand  

  • The effects of the electrolyte composition on the performance of Si quantum dot-sensitized solar cells International conference

    H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, M. Shiratani, M. Son, H. Kim

    63rd Annual Meeting of the International Society of Electrochemistry  2012.8 

     More details

    Event date: 2012.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Prague  

  • Deposition of Si nano-particle films for Si quantum-dots sensitized solar cells International conference

    G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 6th International Conference on Technological Advances of Thin Films and Surface Coating  2012.7 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Singapore Management University   Country:Singapore  

  • Development of dust particle manipulation method in capacitively coupled plasmas via Electrical Asymmetry Effect International conference

    S. Iwashita, G. Uchida, J. Schulze, E. Sch¨ungel, P. Hartmann, K. Koga, M. Shiratani, Zolt´an Donk´o, U. Czarnetzki

    22th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG2012)  2012.7 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Viana do Castelo   Country:Portugal  

  • Impacts of plasma fluctuation on nanoparticle growth in reactive plasmas International conference

    M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo

    IEEE ICOPS2012  2012.7 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Edingburgh  

  • Plasma etching resistance of plasma anisotropic CVD carbon films International conference

    R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    IEEE ICOPS2012  2012.7 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Edingburgh  

  • ダイバータシミュレータ中のカーボンナノダストの生成と輸送に関する実験

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten

    第9回核融合エネルギー連合講演会  2012.6 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神戸国際会議場   Country:Japan  

  • LHDにおける炭素堆積層評価および水素保持特性に関する研究

    芦川直子, 鳥養祐二, 深山健介, 浜地志憲, 古閑一憲, 山内有二, 信太祐二, 上田良夫, 日野友明, 松山政夫, LHD実験グループ

    第9回核融合エネルギー連合講演会  2012.6 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神戸国際会議場   Country:Japan  

  • Application of SiC nanoparticle films to energy conversion devices: lithium ion batteries and quantum-dot solar cells (Invited) Invited International conference

    G. Uchida, K. Kamataki, H. Seo, Y. Morita, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012.6 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • The fabrication of multi-layered Si quantum dot-sensitized solar cells for better light harvesting (Invited) Invited International conference

    H. Seo, Y. wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012.6 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • Observation of growth of nano-particles using a high speed camera International conference

    Y. Morita, K. Kamataki, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012.6 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • Fabrication of ZnInON films with high crystallinity for photovoltaic applications International conference

    K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012.6 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • Deposition of B-doped a-Si:H films with a cluster eliminating filter International conference

    Y. Hashimoto, K. Hatozaki, Y. Kim, G.u Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012.6 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Sungkyunkwan University   Country:Korea, Republic of  

  • 高効率量子井戸型太陽電池のための新規酸窒化物半導体薄膜の作製

    板垣奈穂、松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、古閑一憲、白谷正治

    第9回「次世代の太陽光発電システム」シンポジウム  2012.5 

     More details

    Event date: 2012.5 - 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:京都テルサ   Country:Japan  

  • Discharge Power Dependence of Carbon Dust Flux in a Diverter Simulator International conference

    Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki,, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten

    20th International Conference on Plasma Surface Interactions 2012 (PSI2012)  2012.5 

     More details

    Event date: 2012.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Eurogress Aachen   Country:Germany  

  • Control of transport of micrometer-sized particles in capacitively coupled plasmas International conference

    S. Iwashita, J. Schulze, E. Schüngel, G. Uchida, K. Koga, M. Shiratani, P. Hartmann, Z. Donkó, U. Czarnetzki

    13th Workshop on the Physics of Dusty Plasma (WPDP2012)  2012.5 

     More details

    Event date: 2012.5

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Waco, Texas  

  • Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition International conference

    M. Shiratani, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga

    2012 MRS Spring Meeting  2012.4 

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Moscone West Convention Center, San Francisco  

  • Rapid growth of radish sprouts using low pressure oxygen radio frequency plasma irradiation International conference

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    2012 MRS Spring Meeting  2012.4 

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Moscone West Convention Center, San Francisco  

  • Influence of Atmospheric Pressure Torch Plasma Irradiation on Plant Growth International conference

    Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    2012 MRS Spring Meeting  2012.4 

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Moscone West Convention Center, San Francisco  

  • Effects of Atmospheric Pressure Dielectric Barrier Discharges Irradiation on Yeast Growth International conference

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    2012 MRS Spring Meeting  2012.4 

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Moscone West Convention Center, San Francisco  

  • The analysis on the optical properties of size-controllable Si nano-particles by multi-hollow plasma discharge CVD International conference

    H. Seo, Y. Kim, M. Sato, Y. Wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2012 MRS Spring Meeting  2012.4 

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Moscone West Convention Center, San Francisco  

  • Optical Emission Study for Chracterization of Nanoparticle-Suppressed Plasma CVD for Microcrystalline Film Deposition International conference

    Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012 MRS Spring Meeting  2012.4 

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Moscone West Convention Center, San Francisco  

  • プラズマ異方性CVDカーボン膜の硬度のイオンエネルギー依存性

    浦川達也, 鳥越隆平, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • 窒化Si ナノ粒子膜を用いた量子ドット増感型太陽電池の効率波長依存性

    内田儀一郎, 佐藤宗治, 徐鉉雄, 王玉亭, 鎌滝普札, 板垣奈穂, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • Siナノ粒子量子ドット増感型太陽電池電極の最適化

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • 酸素ラジカル照射による植物細胞内の酸化還元応答と成長促進効果

    秋吉雄介, 林信哉, 北﨑訓, 古閑一憲, 白谷正治, 松下智直

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • 酵母の成長特性に対する大気圧D B D 照射効果

    北﨑訓, 古閑一憲, 白谷正治, 林信哉

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • 高安定a-Si:H 膜を用いたショットキーセル特性の光照射時間依存性

    波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • ダストの生成に対する熱流束の影響とダストフラックスの制御

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, L H D実験グループ

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • クラスター除去フィルタを用いたP ドープn 型a-Si:H の製膜

    橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 徐絃雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • Effects of Silicon Nano-particles on Properties of Microcrystalline Silicon Thin Films Frabricated using Multi-hollow Discharge CVD Plasmas

    Y. Kim, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • 窒素添加結晶化バッファー層によるZnO:Al 薄膜の結晶性制御: 窒素供給量の影響

    板垣奈穂, I. Suhariadi, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • プラズマ揺らぎとプラズマ生成ナノ粒子のサイズ分布実験と理論

    白谷正治, 鎌滝晋礼, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲

    第59回応用物理学関係連合講演会  2012.3 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  • Control of transport and distribution of dust particles in capacitively coupled plasmas International conference

    S. Iwashita, G. Uchida, J. Schulze, E. Schüngel, K. Koga, M. Shiratani, P. Hartmann, Z. Donko, U. Czarnetzki

    DPG Spring Meeting of the Section AMOP (SAMOP)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:University of Stuttgart   Country:Germany  

  • Growth control of dry yeast using atmospheric pressure dielectric barrier discharge plasma irradiation International conference

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Freude, Inuyama International Sightseeing Center, Nagoya   Country:Japan  

  • Properties of microcrystalline silicon thin films with/without silicon nanoparticles deposited by multi-hollow plasma CVD International conference

    Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Freude, Inuyama International Sightseeing Center, Nagoya   Country:Japan  

  • Plasma fluctuation and plasma nanotechnologies (Invited) Invited International conference

    M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Freude, Inuyama International Sightseeing Center, Nagoya   Country:Japan  

  • A-Si:H Schottky Cells with Quite Low Light Induced Degradation Fabricated by Multi-hollow Discharge Plasma CVD International conference

    M. Shiratani, K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Measurements of Nitric Oxide Generated from Dry Yeast Irradiated by Dielectric Barrier Discharge Plasmas International conference

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Mesurements of energy influx towards a graphite target in helicon H2 discharge plasmas using a calorimetric probe International conference

    K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. MasuzakiI, K. Nishimura, A. sagara, The LHD Expreimental Group, S. Bornholdt, H. Kersten

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Control of dust-particle transportation in multi-frequency capacitively coupled radio frequency discharge International conference

    G. Uchida, Y. Wang, M. Sato, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • The improvement on the adhesion of Si nano-particles for Si quantum dot-sensitized solar cells International conference

    H. Seo, M. Sato, Y. Wang, Y. Kim, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Optical Emission Chracteristics of Nanoparticle-supressed CVD Plasmas for Microcrystalline Silicon Film Deposition International conference

    Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Interaction between amplitude modulated plasmas and nanoparitcles growth in the plasmas International conference

    K. Kamataki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Etching rate of carbon films deposited by H-assisted plasma CVD International conference

    T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Effect of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization International conference

    I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, K. Nakahara, D. Yamashita, H. Seo, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012.3 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • Impacts of plasma fluctuation on nanostructure formation using plasmas (Invited) Invited International conference

    M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    The Third International Symposium on Plasma Nanoscience (iPlasmaNano-III)  2012.2 

     More details

    Event date: 2012.2 - 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Malaysia and Nanyang Technological University   Country:Malaysia  

  • プラズマとナノ界面の相互作用の制御

    白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 板垣奈穂

    東北大学電気通信研究所共同プロジェクト研究会, 仙台"プラズマフォーラム"  2012.2 

     More details

    Event date: 2012.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:秋保温泉岩沼屋   Country:Japan  

  • Control of deposition profile of carbon films on fine trench using low temperature H-assisted plasma CVD method International conference

    T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    第8回日欧プラズマプロセス共同シンポジウム  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東大寺総合文化センター   Country:Japan  

  • Effects of nano-particles on multi-hollow discharge CVD plasmas for microcrystalline silicon thin film deposition International conference

    Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    第8回日欧プラズマプロセス共同シンポジウム  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東大寺総合文化センター   Country:Japan  

  • Recent progress in frontier science of interactions between plasmas and nano-interfaces (Invited) Invited International conference

    M. Shiratani, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Quantum Efficiency of Quantum Dot-Sensitized Solar Cells Using Nitridated Si Nano-Particles Produced by Double Multi-Hollow Discharges PECVD International conference

    M. Sato, G. Uchida, H. Seo, Y. Wang, K. Nakahara, T. Matsunaga, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Growth characteristics of bread yeast using atmospheric pressure dielectric barrier discharge irradiation International conference

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Bias voltage dependence of mass density of plasma CVD carbon films International conference

    R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • The improvement on the combination between TiO2 and Si nano-particles for higher performance of Si quantum dot-sensitized solar cells (Invited) Invited International conference

    H. Seo, M. Sato, Y. wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Optimization of Redox Electrolyte for Higher Performance of Si Quantum Dot-sensitized Solar cells International conference

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • High stabilization of a-Si:H films by discharge plasma control International conference

    K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Fabrication of ZnO-Based Transparent Conducting Films on Buffer Layers Crystallized via Nitrogen Mediation International conference

    K. Oshikawa, I. Suhariadi, K. Kuwahara, D. Yamashita, Seo H., G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Fabrication of Novel Oxynitride Semiconductors by Magnetron Sputtering for Photovoltaic application International conference

    K. Matsushima, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Effects on nano-patricls on crystalline orientation of microcrystalline silicon films for solar cells International conference

    T. Matsunaga, Y. Kim, K. Koga, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Effects of Nano-particles on Properties of Microcrystalline Silicon Thin Films Fabricated using Multi-hollow Discharge CVD Plasmas International conference

    Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Effects of deposition temperature on the properties of ZnO films fabricated via nitrogen mediation International conference

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Effect of N2/Ar Flow Rate Gas Ratio on the AZO Thin Films with Buffer Layers Deposited via Nitrogen Mediated Crystallization International conference

    I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Dust Removal by Applying Bias Voltage to Reactor Wall in Large Helical Device International conference

    K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Discharge power dependence of dust flux in helicon discharge reactor International conference

    Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Deposition profile control of carbon films on trench structure using plasma anisotropic CVD method International conference

    T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Deposition of p-type a-Si:H using SiH4+ B10H14 multi-hollow discharge plasma CVD as a window layer for pin cell International conference

    K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, M. Sato, H. Matsuzaki, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Deposition of P-doped a-Si:H films with a cluster eliminating filter International conference

    Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012.1 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kyushu University   Country:Japan  

  • Performance of quantum dot sensitized solar cells with nitridated Si nanoparticle films International conference

    G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    第21回日本MRS学術シンポジウム  2011.12 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜開港記念会館   Country:Japan  

  • Stable a-Si:H Schottky Cells Fabricated by Multi-hollow Discharge Plasma CVD International conference

    M. Shiratani, K. Nakahara, K. Hatozaki, H. Seo, G. Uchida, N. Itagaki, K. Kamataki, K. Koga

    第21回日本MRS学術シンポジウム  2011.12 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜開港記念会館   Country:Japan  

  • Substrate dc bias voltage dependence of mass density of carbon films deposited using H-assisted plasma CVD International conference

    T. Urakawa, R. Torigoe, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    第21回日本MRS学術シンポジウム  2011.12 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜開港記念会館   Country:Japan  

  • Effect of Atmospheric Pressure Torch Plasma Irradiation on Plant Cells International conference

    Y. Akiyoshi, A. Nakahigashi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    第21回日本MRS学術シンポジウム  2011.12 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜開港記念会館   Country:Japan  

  • Performance Enhancement of Si Quantum Dot-sensitized Solar Cells by Surface Modification Using ZnO barrier layer and 400nm TiO2 Particles International conference

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    第21回日本MRS学術シンポジウム  2011.12 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜開港記念会館   Country:Japan  

  • ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio International conference

    I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani

    第21回日本MRS学術シンポジウム  2011.12 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜開港記念会館   Country:Japan  

  • 反応性プラズマにおけるナノ粒子生成に対する放電電力摂動の効果

    鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    プラズマ・核融合学会 第15回九州・沖縄・山口支部大会  2011.12 

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学工学部   Country:Japan  

  • 水素プラズマ-カーボン壁相互作用によるダスト生成に対する壁への熱流速の影響

    森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 徐鉉雄, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. BORNHOLDT, H. KERSTEN

    プラズマ・核融合学会 第15回九州・沖縄・山口支部大会  2011.12 

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学工学部   Country:Japan  

  • クラスタ取込抑制マルチホロー放電プラズマCVD法で作製した光安定水素化アモルファスシリコン薄膜のショットキーセル特性

    波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 第15回九州・沖縄・山口支部大会  2011.12 

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大分大学工学部   Country:Japan  

  • 水素プラズマのグラファイト壁への熱フラックスとダスト生成

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten

    PWI合同研究会  2011.12 

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization International conference

    N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.12 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • Stable schottky solar cells using cluster-free a-si:h prepared by multi-hollow discharge plasma CVD International conference

    K. Hatozaki, K. Nakahara, G. Uchida, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.11 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • Quantum dot-sensitized solar cells using nitridated si nanoparticles produced by double multi-hollow discharges International conference

    M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.11 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • Properties and performance of si quantum dot-sensitized solar cells with low temperature titania paste International conference

    Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, H. Seo, G. Uchida, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.11 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD International conference

    K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.11 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • A study of optical emission spectroscopy on microcrystalline silicon film using multi-hollow discharge plasma CVD International conference

    Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.11 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers International conference

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.12 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films International conference

    T. Matsunaga, Kim Y., K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011.12 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pacifico Yokohama   Country:Japan  

  • Control of size distribution of nanoparticles in reactive plasmas by using AM rf discharges

    M. Shiratani, G. Uchida, N. Itagaki, K. Kamataki, K. Koga

    12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • プラズマCVD カーボン膜の硬度の基板バイアス依存性

    鳥越隆平, 浦川達也, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    平成23年度応用物理学会九州支部学術講演会  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:鹿児島大学   Country:Japan  

  • Production and coagulation of carbon clusters by plasma methods

    T. Mieno, K. Koga, M. Shiratani

    12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • 窒素添加結晶化法により作製したエピタキシャル酸化亜鉛薄膜の特性の成膜温度依存性

    松島宏一, 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    平成23年度応用物理学会九州支部学術講演会  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:鹿児島大学   Country:Japan  

  • クラスター除去フィルタを用いたP ドープa-Si;H の製膜ドープ量依存性

    橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    平成23年度応用物理学会九州支部学術講演会  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:鹿児島大学   Country:Japan  

  • LHDおよびLHDを模擬したヘリコン装置における水素ガスとグラファイトの相互作用により生じたダストの基板へのフラックスの基板バイアス電圧依存性

    森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    平成23年度応用物理学会九州支部学術講演会  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:鹿児島大学   Country:Japan  

  • Effects of substrate bias voltage on dust collection efficiency

    K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • プラズマCVDで成膜したSi薄膜へのナノ粒子含有の効果 International conference

    白谷正治, 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD International conference

    K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

    Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD

  • Ar/N2マグネトロンスパッタによる低抵抗ZnO:Al膜の作製 International conference

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

    Low resistive ZnO:Al films with ZnO buffer layers fabricated by Ar/N2 magnetron sputtering

  • クラスター除去フィルタを用いたクラスターフリー水素化アモルファスシリコンの製膜 International conference

    中原賢太, 波戸﨑浩介, 橋本優史, 松永剛明, 佐藤宗治, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • Si量子ドット増感型太陽電池の電解液最適化 International conference

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • 大気圧バリア放電プラズマ照射による酵母の成長促進特性 International conference

    北﨑訓, 古閑一憲, 白谷正治, 林信哉

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • 水素原子源付プラズマCVD装置を用いた炭素薄膜の異方性製膜に対する基板バイアス電圧の影響 International conference

    浦川達也, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • カーボンナノチューブ微粒子のプラズマ合成過程 International conference

    三重野哲, 古閑一憲, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • FeSi2ナノ粒子薄膜の堆積 International conference

    佐藤宗治, 王玉亭, 中原賢太, 松永剛明, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • 微結晶シリコン薄膜作製用マルチホロー放電プラズマに対するナノ粒子の影響 International conference

    松永剛明, 金淵元, 古閑一憲, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • 高密度水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるカーボンダスト粒子の局所バイアス電圧印加による捕集 International conference

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD 実験グループ, S. Bornholdt, H. Kersten

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • 高速ガス流マルチホロープラズマCVD法を用いた高光安定クラスタフリーa-Si:H膜の作製 International conference

    波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • マルチホロー放電プラズマで作製した微結晶シリコン膜へのラジカルフラックス評価 International conference

    金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • スパッタリングプラズマへの窒素ガス添加のエピキシャルZnO薄膜物性に対する効果 International conference

    桑原和成, 中原賢太, 山下大輔, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    Plasma Conference 2011 (PLASMA2011)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  • Influence of Atmospheric Pressure Torch Plasma Irradiation on Plant Growth International conference

    Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    64th Gaseous Electronics Conference  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Salt Palace Convention Center, Salt Lake City  

  • Deposition profile control of carbon films on trenched substrate by simultaneous plasma CVD and plasma etching International conference

    M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori

    64th Gaseous Electronics Conference  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Salt Palace Convention Center, Salt Lake City  

  • Performance enhancement of Si quantum dot-sensitized solar cells by surface modification using ZnO barrier layer International conference

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 33rd International Symposium on Dry Process (DPS 2011)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Garden Palace   Country:Japan  

  • Optical emission spectroscopy of Ar+H2+ C7H8 discharges for anisotropic plasma CVD of carbon International conference

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 33rd International Symposium on Dry Process (DPS 2011)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Garden Palace   Country:Japan  

  • Influence of active oxygen species produced by atmospheric torch plasma on plant growth International conference

    N. Hayashi, Y. Akiyoshi, S. Kitazaki, K. Koga, M. Shiratani

    The 33rd International Symposium on Dry Process (DPS 2011)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Garden Palace   Country:Japan  

  • プラズマ中ダストの帯電揺らぎによる凝集と成長~分子からクラスター、ダストへの成長~

    布村正太, 白谷正治, 古閑一憲, 渡辺征夫

    第29回Grain Formation Workshop/平成23年度銀河のダスト研究会  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Symposium, workshop panel (public)  

    Venue:惑星科学研究センター, 神戸   Country:Japan  

  • FeSi2 nano-particles embedded thin films by magnetron sputter deposition International conference

    M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, Koga Koga, M. Shiratani

    第15回薄膜国際会議 (ICTF-15)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto TERRSA   Country:Japan  

  • Combinatorial Si Film Deposition by Multihollow Discharge Plasma CVD International conference

    M. Shiratani, Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga

    第15回薄膜国際会議 (ICTF-15)  2011.11 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto TERRSA   Country:Japan  

  • 窒素添加結晶化法による超均一・低抵抗酸化亜鉛薄膜の作製

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第27回九州・山口プラズマ研究会(兼応用物理学会九州支部シンポジウム「プラズマ計測とその応用 ナノプロセスから環境まで」)  2011.11 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:かんぽの宿柳川, 福岡   Country:Japan  

  • Quantum dot sensitized solar cells using nanoparticles of Si compounds fabricated by multihollow discharge plasma CVD International conference

    M. Shiratani, G. Uchida, M. Sato, Y. Wang, K. Koga, N. Itagaki

    AVS 58th International Symposium & Exhibition  2011.11 

     More details

    Event date: 2011.10 - 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nashville, Tennessee  

  • Growth promotion of bread yeast using atmospheric pressure dielectric barrier discharges International conference

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    AVS 58th International Symposium & Exhibition  2011.10 

     More details

    Event date: 2011.10 - 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nashville, Tennessee  

  • 自己組織化成長技術による極限ナノ加工プロセスの確立と有機基板上への高品質シリコン結晶成長の実現

    白谷正治, 古閑一憲

    CREST「ナノ科学を基盤とした革新的製造技術の創成」  2011.10 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  • ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第3回薄膜太陽電池セミナー  2011.10 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ラフレさいたま   Country:Japan  

  • マルチホロー放電プラズマCVDを用いたクラスターフリーa-Si:H膜の欠陥密度の基板温度依存性

    波戸﨑浩介, 中原賢太, 松永剛明, 内田儀一郎, 古閑一憲, 白谷正治

    第3回薄膜太陽電池セミナー  2011.10 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ラフレさいたま   Country:Japan  

  • マルチホロー放電プラズマCVD 法を用いた微結晶シリコン薄膜のコンビナトリアル製膜

    金淵元, 松永剛明, 古閑一憲, 白谷正治

    第3回薄膜太陽電池セミナー  2011.10 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ラフレさいたま   Country:Japan  

  • Impacts of Plasma Fluctuations in Reactive Plasmas (Invited) Invited International conference

    K. Kamataki, K. Koga, G. Uchida, N. Itagaki, M. Shiratani

    BIT's 1st Annual World Congress of Nano-S&T  2011.10 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:World EXPO Center, Dalian   Country:China  

  • Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells International conference

    G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    BIT's 1st Annual World Congress of Nano-S&T  2011.10 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:World EXPO Center, Dalian   Country:China  

  • Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells International conference

    G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    BIT's 1st Annual World Congress of Nano-S&T  2011.10 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:World EXPO Center, Dalian   Country:China  

  • プラズマCVD中におけるナノ粒子成長に対するプラズマ揺らぎの効果

    鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念特別シンポジウム  2011.10 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Deposition of Si Nano-particle Films for Quantum Dot Sensitized Solar Cells International conference

    G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Low Carbon Earth Summit (LCES-2011)  2011.10 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Dalian city   Country:China  

  • Two dimensional laser light scattering to deduce size and density of nanoparticles in plasmas (Invited) Invited International conference

    M. Shiratani, K. Kamataki, K. Koga, G. Uchida

    Laser Aided Plasma Diagnostic conference (LAPD15)  2011.10 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ShineVille Resort, Jeju   Country:Korea, Republic of  

  • 高周波放電のAM変調によるナノ粒子サイズ分布の制御

    白谷正治, 鎌滝晋礼, 西山雄士, 古閑一憲, 内田儀一郎, 板垣奈穂

    平成23年度(第64回)電気関係学会九州支部連合大会  2011.9 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • 大気圧バリア放電照射による酵母の増殖促進

    北﨑訓, 古閑一憲, 白谷正治, 林信哉

    平成23年度(第64回)電気関係学会九州支部連合大会  2011.9 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:佐賀大学   Country:Japan  

  • Spatial distribution of resistivity of ZnO:Al thin films fabricated on solid-phase crystallized seed layers International conference

    N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    European Material Research Society 2011 Fall Meeting (E-MRS)  2011.9 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Warsaw University of Technology   Country:Poland  

  • Deposition of quantum dot thin films using Si nanoparticles with surface nitridation (Invited) Invited International conference

    M. Shiratani, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga

    The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)  2011.9 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Furama Hotel, Dalian   Country:China  

  • Effects of flux of carbon radicals on anisotropic deposition of carbon films on fine trench using H-assisted plasma CVD International conference

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)  2011.9 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Furama Hotel, Dalian   Country:China  

  • Effects of Si nano-particle incorporation on properties of microcrystalline silicon thin films studied by multi-hollow discharge CVD International conference

    Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)  2011.9 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Furama Hotel, Dalian   Country:China  

  • Plasma CVD of nanoparticle composite films and their applications (Plenary) Invited International conference

    M. Shiratani, K. Koga, G. Uchida

    The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)  2011.9 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Furama Hotel, Dalian   Country:China  

  • ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第5回プラズマエレクトロニクスインキュベーションホール  2011.9 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • マルチホロー放電プラズマCVDを用いて作製したクラスターフリーa-Si:Hのショットキーセルの特性

    波戸﨑浩介, 中原賢太, 松永剛明, 佐藤宗治, 内田儀一郎, 古閑一憲, 白谷正治

    第5回プラズマエレクトロニクスインキュベーションホール  2011.9 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • 水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるナノダストの基板へのフラックスの基板バイアスによる制御

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第5回プラズマエレクトロニクスインキュベーションホール  2011.9 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • エピタキシャル酸化亜鉛薄膜作製における窒素添加効果

    桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治

    第5回プラズマエレクトロニクスインキュベーションホール  2011.9 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

  • Frontier science of interactions between plasmas and nano‐interfaces (Plenary) Invited International conference

    M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga

    (ICPAT2011)4th International Conference on Advanced Plasma Technologies  2011.9 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Salinera Strunjan   Country:Slovenia  

  • Carbon protective layer on top surface of trench substrate using H-assisted plasma CVD (Invited) Invited International conference

    M. Shiratani, T. Urakawa, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori

    Workshop on Plasma Synthesis and Application of Nanomaterials  2011.9 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Piran   Country:Slovenia  

  • ZnO:Al薄膜の抵抗率の面内均一性に対する固相結晶化シード層の効果

    板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第72回応用物理学会学術講演会  2011.9 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  • 水素プラズマとグラファイトの相互作用により発生したカーボンナノ粒子の基板バイアス電圧印加による配置制御

    西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第72回応用物理学会学術講演会  2011.8 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  • 微細溝への炭素薄膜の製膜形状制御における水素フラックスの効果

    浦川達也, 鳥越隆平, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第72回応用物理学会学術講演会  2011.9 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  • 窒化Siナノ粒子含有薄膜の光導電率の波長依存性

    佐藤宗治, 王玉亭, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治

    第72回応用物理学会学術講演会  2011.9 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  • マルチホロー放電プラズマCVDを用いて作製したクラスターフリーa-Si:Hの膜質の基板温度依存性

    中原賢太, 波戸﨑浩介, 松永剛明, 佐藤宗治, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治

    第72回応用物理学会学術講演会  2011.9 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  • Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasmas International conference

    K. Kamataki , H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    the XXX International Conference on Phenomena in Ionized Gases(ICPIG) 2012 Conference  2011.8 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Queen's University, Belfast   Country:Ireland  

  • Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD International conference

    Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G.u Uchida, K. Koga, M. Shiratani

    The 20th International Symposium on Plasma Chemistry (ISPC20)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Loews Hotel, Philadelphia  

  • Deposition profile control of carbon films on submicron wide trench substrate using H-assisted plasma CVD International conference

    T. Urakawa, T. Nomura, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 20th International Symposium on Plasma Chemistry (ISPC20)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Loews Hotel, Philadelphia  

  • Highly stable schottky cells using cluster-free a-Si:H deposited by multi-hollow discharge plasma CVD method International conference

    K. Hatozaki, K. Nakahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 13th International Workshop on Advanced Plasma Processing and Diagnostics  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Daejeon Convention Center   Country:Korea, Republic of  

  • Innovative Si solar cells: new approaches and demonstration of devices (Invited) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki

    The 13th International Workshop on Advanced Plasma Processing and Diagnostics  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Daejeon Convention Center   Country:Korea, Republic of  

  • Fluctuation Control towards Ultimate Plasma Nanotechnologies (Keynote) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki

    第24回プラズマ材料科学シンポジウム (SPSM-24)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Redox Characteristics of the components in plant cell using oxygen radicals International conference

    Y. Akiyoshi, Keiichi Yamamoto, A. Nakahigashi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    第24回プラズマ材料科学シンポジウム (SPSM-24)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Effects of nanoparticle incorporation on crystalline orientation of microcrystalline silicon films prepared by multi-hollow plasma discharge CVD International conference

    Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    第24回プラズマ材料科学シンポジウム (SPSM-24)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage International conference

    K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    第24回プラズマ材料科学シンポジウム (SPSM-24)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Fabrication of high quality ZnO films via nitrogen-mediated crystallization International conference

    N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    第24回プラズマ材料科学シンポジウム (SPSM-24)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  • Plasma Nanofactory International conference

    M. Shiratani, G. Uchida, K. Koga

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ruhr-University Bochum   Country:Germany  

  • Surface modification with TiO2 films for Si quantum dot-sensitized solar cells International conference

    Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ruhr-University Bochum   Country:Germany  

  • Nanoparticle formation due to interactions between H2 plasmas and graphite International conference

    K. Nishiyama, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ruhr-University Bochum   Country:Germany  

  • Effects of solid-phase crystallization temperature on properties of epitaxial ZnO films International conference

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ruhr-University Bochum   Country:Germany  

  • Deposition of Si Nano-particle Films and its Application to Quantum dot Sensitized Solar Cells International conference

    G. Uchida, M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Ruhr-University Bochum   Country:Germany  

  • Process Window Evaluation of Microcrystalline Silicon Films with and without Incorporating Clusters using Multi-hollow Discharge Plasma CVD International conference

    T. Matsunaga, Yeon Won Kim, K. Koga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Furama Hotel, Dalian   Country:China  

  • Substrate temperature dependence of defect density of cluster-free a-Si:H films deposited using SiH4 multi-hollow discharge plasma CVD International conference

    K. Hatozaki, K. Nakahara, G. Uchida, K. Koga, M. Shiratani

    The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011)  2011.7 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Furama Hotel, Dalian   Country:China  

  • Applications of nanoparticles formed in reactive plasmas: from solar cells to LSI (Invited) Invited International conference

    M. Shiratani, K. Koga, G. Uchida

    International Workshop on Plasmas and Particles  2011.6 

     More details

    Event date: 2011.6

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Kangwon National University   Country:Korea, Republic of  

  • Performance enhancement of Si quantum dot-sensitized solar cells by surface coating

    Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    九州表面・真空研究会2011(兼第16回九州薄膜表面研究会)  2011.6 

     More details

    Event date: 2011.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 微細溝へのカーボン薄膜製膜速度の主放電電力依存性

    浦川達也, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    九州表面・真空研究会2011(兼第16回九州薄膜表面研究会)  2011.6 

     More details

    Event date: 2011.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • マルチホロー放電プラズマCVD による微結晶シリコン製膜プロセスウィンドウのガス圧力依存性

    松永剛明, 金淵元, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    九州表面・真空研究会2011(兼第16回九州薄膜表面研究会)  2011.6 

     More details

    Event date: 2011.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 高光安定a-Si太陽電池の開発

    白谷正治, 古閑一憲, 板垣奈穂, 内田儀一郎

    第3回薄膜コンソ技術委員会  2011.5 

     More details

    Event date: 2011.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:産総研関西センター, 大阪   Country:Japan  

  • Fabrication of highly conducting ZnO:Al films utilizing solid-phase crystallized seed layer International conference

    N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    European Materials Research Society 2011 Spring Meeting  2011.5 

     More details

    Event date: 2011.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Congress Center, Nice   Country:France  

  • Transport control of carbon nanoparticles in plasmas by biasing wall potential for plasma nano-factories International conference

    K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    European Materials Research Society 2011 Spring Meeting  2011.5 

     More details

    Event date: 2011.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Congress Center, Nice   Country:France  

  • High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers International conference

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, and M. Shiratani

    European Materials Research Society 2011 Spring Meeting  2011.5 

     More details

    Event date: 2011.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Congress Center, Nice   Country:France  

  • Plasma nanofactories for constructing nanosystems in the third generation nanotechnology (Invited) Invited International conference

    M. Shiratani, K. Koga

    6th International Workshop on Microplasmas  2011.4 

     More details

    Event date: 2011.4

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Venue:Paris Marriott Rive Gauche Hotel and Conference Center   Country:France  

  • 振幅変調パルス放電を用いてトレンチ基板上に堆積させたナノ粒子の付着形状

    宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • 大気圧バリア放電による酵母の成長促進

    北崎訓, 内田儀一郎, 古閑一憲, 白谷正治, 林信哉

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • 水素プラズマとグラファイトの相互作用により発生したナノダストの基板へのフラックスの基板バイアス電圧依存性

    西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • DM-DMOS微粒子プラズマ中におけるAr準安定粒子密度の時間変動

    内田儀一郎, 宮田大嗣, 鎌滝晋礼, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • 固相結晶化シード層を用いた酸化亜鉛系透明導電膜の作製

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • 反応性プラズマ中のナノ粒子成長に対する気相揺らぎの効果

    鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 山下大輔, 松崎秀文, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • プラズマCVDで製膜したトレンチ基板上の炭素系薄膜の製膜速度の主放電電力依存性

    浦川達也, 野村卓矢, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • マルチホロー放電プラズマCVD 法を用いたラジカルフラックス評価

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • ダブルマルチホロー放電による表面窒化Siナノ粒子含有薄膜のコンビナトリアル成膜

    山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • SiH4+B10H14マルチホロー放電プラズマCVDによるp型a-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤 宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • Combinatorial study on deposition profiles of silicon thin films deposited using high gas pressure multi-hollow discharge plasma CVD

    金淵元, 松永剛明, 川嶋勇毅, 山下大輔, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • 量子ドット増感太陽電池の電流密度-電圧特性の膜堆積による影響

    佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄

    第58回応用物理学関係連合講演会  2011.3 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  • Ultra Low Resistive NM Thick AZO Films Deposited by Magnetron Sputtering Using Solid Phase Crystallization International conference

    M. Shiratani, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Public Cultural Hall, Gifu   Country:Japan  

  • Growth enhancement of plants using atmospheric pressure dielectric barrier discharge irradiation International conference

    S. Kitazaki, G. Uchida, K. Koga, M. Shiratani, N. Hayashi

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Public Cultural Hall, Gifu   Country:Japan  

  • Process window of microcrystalline silicon films deposited using multi-hollow discharge plasma CVD International conference

    T. Matsunaga, Y. Kim, Y. Kawashima, K. Koga, D. Yamashita, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Public Cultural Hall, Gifu   Country:Japan  

  • Effects of substrate temperature on properties of μc-Si:H films deposited using multi-hollow discharge plasma CVD International conference

    Y. Kim, T. Matsunaga, Y. Kawashima, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Public Cultural Hall, Gifu   Country:Japan  

  • Deposition of P-doped a-Si:H films of a low defect density using SiH4+PH3 multi-hollow discharge plasma CVD International conference

    K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Public Cultural Hall, Gifu   Country:Japan  

  • Combinatorial deposition of surface nitridated Si nano-particle composite films by double multi-hollow discharges International conference

    M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. shiratani

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Takayama Public Cultural Hall, Gifu   Country:Japan  

  • Deposition of ?c-Si films using plasma CVD under high gas pressure conditions International conference

    T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  • Atmospheric pressure discharge device for biomedical application International conference

    S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  • Optical and electrical properties of nitridated Si nano-particle composite thin films deposited by double multi-hollow discharges CVD International conference

    G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  • Generation of nitridated silicon nano-particles by double multi-hollow discharge CVD International conference

    M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  • Effect of substrate temperature on microcrystalline silicon thin films deposited using plasma enhanced CVD International conference

    Y. Kim, T. Matsunaga, Y. Kawashima, M. Sato, K. Nakahara, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  • Deposition of B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD for pin solar cell International conference

    K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  • Fluctuation of charge on a nano-particle in plasmas and its conseuquences International conference

    M. Shiratani and K. Koga

    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The hotel Raj   Country:Slovenia  

  • Deposition of Si nano-particle quantum dot thin film by multi-hollow discharge and their application to the third generation hotovoltaics International conference

    G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Kamataki, N. Itagaki, K. Koga, M. shiratani

    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ)  2011.3 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The hotel Raj   Country:Slovenia  

  • LHD第一壁へのダストフラックスに対する壁電位の効果

    白谷正治, 宮田大嗣, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    核融合科学研究所一般共同研究成果報告会(NIFS)  2011.1 

     More details

    Event date: 2011.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山陽館, 大分   Country:Japan  

  • Combinatorial study on deposition profiles of silicon thin films deposited using multi-hollow discharge plasma CVD International conference

    Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Main discharge dependence of deposition rate of plasma CVD carbon films de-posited using H-assisted plasma CVD reactor International conference

    T. Urakawa, T. Nomura, H. Matsuzaki, D. Yamashita, G.u Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Growth promotion of plants using low pressure O2 RF discharges International conference

    S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Emission intensity measurements of Ar+H2+C7H8 plasmas using H-assisted plasma CVD International conference

    T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Surface reactions of P-doped a-Si:H films deposition using SiH4+PH3 International conference

    D. Yamashita, K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Property evaluation of silicon films deposited using high gas pressure multi-hollow discharge plasma CVD International conference

    T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Photoluminescence measurement of nitridated Si particles generated by double multi-hollow discharge PECVD International conference

    M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Optical bandgap energy of B-doped a-Si:H films depositedby SiH4+B10H14multi-hollow discharge plasma CVD International conference

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Low resistivity AZO films fabricated on solid phase crystallized ZnO buffer layer: solid phase crystallization temperature dependence International conference

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Generation of nitridated Si particle composite films by double multi-hollow plasma CVD method International conference

    K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Flux measurements of carbon dust particles towards biased substrates in H2 helicon discharge plasmas International conference

    K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G.u Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Electrical characteristics of Si nanoparticles/ruthenium dye hybrid sensitized solar cells International conference

    Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Deposition of SiOx-CH3 nano-particles on trench substrates using pulse RF discharges International conference

    H. Miyata, S. Iwashita, K. Nishiyama, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011.1 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:九州大学医学部百年記念講堂   Country:Japan  

  • Deposition rate of SiH4+PH3 multi-hollow plasma CVD a-Si:H films

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    第20回日本MRS学術シンポジウム  2010.12 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • Influence Of Atmospheric Pressure Torch Plasma Irradiation On Plant Growth

    Y. Akiyoshi, A. Nakahigashi, N. Hayashi, Satosi Kitazaki, K. Koga, M. Shiratani

    第20回日本MRS学術シンポジウム  2010.12 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • Microcrystalline silicon films deposited by using multi-hollow discharge plasma CVD under high pressure depletion conditions

    T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani

    第20回日本MRS学術シンポジウム  2010.12 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • Investigation of Interaction between of Growth of Nano Particles and Plasma Fluctuations in Plasma CVD

    K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    第20回日本MRS学術シンポジウム  2010.12 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • Generation of nitridated silicon particles and the application to solar cell

    G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    第20回日本MRS学術シンポジウム  2010.12 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  • マルチホロー放電プラズマCVD を用いた第三世代太陽電池用結晶シリコンナノ粒子のサイズ制御

    川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 鎌滝晋礼, 近藤道雄, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 大気圧DBDを用いた植物および酵母の成長促進

    北﨑訓, 古閑一憲, 白谷正治, 林信哉

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 水素原子源付プラズマCVD装置で生成したH2+Ar+C7H8プラズマの発光強度計測

    野村卓矢, 浦川達也, 山下大輔, 松崎秀文, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 堀勝, 関根誠

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマCVDで形成したカーボン薄膜のトレンチ基板上への成膜形状の主放電電力依存性

    浦川達也, 野村卓矢, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 水素プラズマとグラファイトとの相互作用により発生したダストのフラックスの壁電位依存性

    西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 振幅変調パルス放電を用いた微細パターン基板へのナノ粒子の堆積

    宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVDを用いたμc-Si:Hのコンビナトリアル製膜によるSiH3,Hフラックス解析

    松永剛明, 川嶋勇毅, 金淵元, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマCVD中のナノ粒子成長に対するプラズマ揺動の影響

    鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • ダブルマルチホロー放電プラズマを用いた表面窒化Siナノ粒子含有薄膜の作製

    山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • ダブルマルチホロー放電によるN2/SiH4プラズマの独立制御

    佐藤宗治, 山本康介, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • スパッタリング法を用いた新規固相結晶化法によるエピタキシャル酸化亜鉛薄膜の作製

    桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Substrate temperature dependence of microcrystalline silicon deposition by multi-hollow discharge plasma CVD

    金淵元, 松永剛明, 川嶋勇毅, 中原賢太, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • SiH4+B10H14マルチホロー放電プラズマCVDを用いたBドーピングa-Si:Hの堆積

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010.12 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • A novel control method of nano-strucutre of oxide films using sputtering deposition (Keynote Speech) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki

    The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II)  2010.12 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Murramarang Resort, New South Wales   Country:Australia  

  • 酸素および水プラズマによる植物の成長促進

    中東朱里, 秋吉雄介, 林信哉, 白谷正治, 古閑一憲

    第27回プラズマ・核融合学会年会  2010.11 

     More details

    Event date: 2010.11 - 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:札幌国際プラザ   Country:Japan  

  • シリコンナノ粒子とRu色素を用いた増感太陽電池の電流密度-電圧特性

    川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

    Current density-voltage characteristics of the sensitized solar cell
    using Si nanoparticles and Ru dye

  • 水プラズマによるチオール化合物の酸化還元特性

    林信哉, 中東朱里, 北崎訓, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 活性酸素種を用いた植物の成長促進特性

    北崎訓, 古閑一憲, 白谷正治, 林信哉

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 異方性プラズマCVDで堆積したカーボン膜のエッチングレート

    山下大輔, 浦川達也, 野村卓矢, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • プラズマCVDカーボン膜の堆積速度の基板配置位置依存性

    浦川達也, 野村卓矢, 松崎秀文, 山下大輔, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Ar+H2+C7H8プラズマの水素発光強度計測

    野村卓矢, 浦川達也, 山下大輔, 松崎秀文, 内田儀一郎, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 微細トレンチ基板へのナノ粒子の堆積

    西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

    Deposition of nano-particles onto micro trench substrates

  • 窒素原子を介した酸化亜鉛薄膜の固相結晶化におけるアニール温度依存性

    桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 高ガス圧力条件における微結晶シリコン薄膜の作製

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

    Preparation of microcrystalline silicon films under high gas pressure condition

  • マルチホロー放電プラズマCVD法で堆積したPドープa-Si:H膜の導電率

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

    Conductivity of P-doped a-Si:H films deposited using multi-hollow plasma CVD

  • ダブルマルチホロー放電を用いた表面窒化シリコン粒子の生成

    山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

    Fabrication of surface-nitrided Si particles by double multi-hollow discharges

  • Generation of nitridated silicon nanoparticles and the application to solar cell (Invited) Invited International conference

    G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 1st Korean-Japan Symposium on Surface Technology  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Premier Songdo Park Hotel, Inchon, Korea   Country:Korea, Republic of  

  • Fluctuation Control for Plasma Nanotechnologies (Keynote Speech) Invited International conference

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Substrate temperature dependence of feature profile of carbon films on substrate with submicron trenches International conference

    T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Redox Characteristics of Amino Acids Using Low Pressure Water Vapor RF Plasma International conference

    Y. Akiyoshi, A. Nakahigashi, N. Hayashi, S. Kitazaki, T. Iwao, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Photoluminescence of Si nanoparticles synthesized using multi-hollow discharge plasma CVD International conference

    Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Growth Stimulation of Radish Sprouts Using Discharge Plasmas International conference

    S. Kitazaki, D. Yamashita, H. Matsuzaki, G.u Uchida, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge International conference

    G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, Dsaisuke Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasmas International conference

    K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Deposition profiles of microcrystalline silicon films using multi-hollow discharge plasma CVD International conference

    T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, W. M. Nakamura, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Cluster-Free B-Doped a-Si:H Films Deposited Using SiH4 + B10H14 Multi-Hollow Discharges International conference

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congress Center   Country:Japan  

  • Cluster-free p-type a Si:H films deposited using SiH4 + B10H14 multi-hollow discharges International conference

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Student Workshop on Electrical Engineering  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場   Country:Japan  

  • Substrate temperature dependence of feature profile plasma CVD carbon films on trenched substrates International conference

    T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Y. Stshuhara, M. Sekine, M. Hori

    The 4th International Student Workshop on Electrical Engineering  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場   Country:Japan  

  • Growth stimulation of sprouts using plasma irradiation International conference

    S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi

    The 4th International Student Workshop on Electrical Engineering  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場   Country:Japan  

  • Novel solar cells using Si nanoparticles International conference

    Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Student Workshop on Electrical Engineering  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場   Country:Japan  

  • Evaluation of microcrystalline silicon filmas deposited by using multi-hollow discharge plasma CVD International conference

    T. Matsunaga, Y. Kawashima, K. Koga, M. Sato, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 4th International Student Workshop on Electrical Engineering  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場   Country:Japan  

  • In-situ collection of dust particles produced due to interaction between helicon discharge plasmasand graphite on substrates with bias voltage International conference

    M. Shiratani, H. Miyata, K. Nishiyama, S. Iwashita, D.Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara

    11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Generation of nitridated silicon particle in SiH4/H2 and N2 multi-hollow discharges International conference

    G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Manipulation of Nano-objects Uusing Plasmas for a Plasma Nano-factory (Invited) Invited International conference

    M. Shiratani, K. Koga, G.u Uchida, N. Itagaki, K. Kamataki

    The 11th Asia Pacific Physics Conference (APPC11)  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Shanghai, China   Country:China  

    新しいボトムアッププロセスとしてナノ粒子の操作をプラズマを用いて行う新概念の提案とその実験的検証に関する報告を行った。

  • Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles International conference

    H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2010 International Symposium on Dry Process Program (DPS)  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  • Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges International conference

    G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010)  2010.11 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:リーガロイヤルホテル小倉   Country:Japan  

  • アモルファス相からの固相結晶化による酸化亜鉛薄膜の作製

    板垣奈穂, 桑原和成, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第26回九州・山口プラズマ研究会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山陽館, 大分   Country:Japan  

  • 窒化シリコン微粒子の生成と太陽電池への応用

    内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第26回九州・山口プラズマ研究会  2010.11 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山陽館, 大分   Country:Japan  

  • Scalable atmospheric DBD device for biomedical processing International conference

    S. Kitazaki, T. Iwao, G. Uchida, K. Koga, M. Shiratani, N. Hayashi

    AVS 57th International Symposium & Exhibition  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Albuquerque Convention Center, Albuquerque  

  • Combinatorial plasma CVD of Si thin films with a multihollow discharge plasma CVD reactor International conference

    M. Shiratani, K. Koga, T. Matsunaga, Y. Kawashima, W. M. Nakamura, G. Uchida, N. Itagaki

    AVS 57th International Symposium & Exhibition  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Albuquerque Convention Center, Albuquerque  

  • Carrier generation in Si quantum dots-sensitized solar cell International conference

    Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Rapid transport of nano-particles as a key technology for fabrication of quantum-dot solar cells International conference

    K. Nishiyama, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Raman spectroscopy of carbon films deposited by plasma anisotorpic CVD International conference

    T. Nomura, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Control of deposition profile of carbon films on nano-patterned substrates using H-assisted plasma CVD International conference

    T. Urakawa, T. Nomura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Atmospheric Pressure Discharge Treatment of TiO2 Layer of quantum dot/dye sensitized solar cells International conference

    S. Kitazaki, Y. Kawashima, G. Uchida, K. Koga, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • ZnO transparent conductive films prepared by solid-phase crystallization from amorphous phase International conference

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Koga, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Production of nitridated silicon particles for quantum dot solar cell International conference

    K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H.Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Optical and electrical properties of microcrystalline silicon thin films deposited by mutli-hollow discharge plasma CVD International conference

    T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, M. Sato, D. Yamashita, G. Uchida, N. Itagaki, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Effects of H2 plasma irradiation to TiO2 on quantum dot/dye-sensitized solar cells International conference

    H. Miyata, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Deposition of cluster-free B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD International conference

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎全日空ホテル   Country:Japan  

  • Redox Characteristics of Thiol of Plants Using Radicals Produced by RF Discharge International conference

    A. Nakahigashi, Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Plasma parameter measurements of Ar+H2+C7H8 plasmas in H-assisted plasma CVD reactor International conference

    T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges International conference

    G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method International conference

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD International conference

    T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Carbon dust particles generated due to H2 plasma-carbon wall interaction International conference

    H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • Fabrication of a-ZnON films by Ar/N2 sputtering for solid-phase crystallization of ZnO International conference

    N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010.10 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Paris   Country:France  

  • SiH4+ B10H14マルチホロー放電プラズマCVD法によるBドープa-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第4回プラズマエレクトロニクスインキュベーションホール  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

    Deposition of B-doped a-Si:H using by SiH4+ B10H14 multi-hollow discharge plasma CVD

  • 高ガス圧力条件における微結晶シリコン薄膜の結晶化率分布

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣菜穂, 白谷正治

    第4回プラズマエレクトロニクスインキュベーションホール  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

    Mapping of crystallinity of ?c-Si thin films deposited under high gas pressure

  • ダブルマルチホロー放電プラズマを用いた表面窒化シリコン粒子の生成

    山本康介, 川嶋勇毅, 佐藤宗治, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第4回プラズマエレクトロニクスインキュベーションホール  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:国立中央青少年交流の家, 静岡   Country:Japan  

    Fabrication of surface nitrided Si particles applying double multi-hollow discharge plasma

  • 窒素原子を介した固相結晶化法による酸化亜鉛薄膜の作製

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • ナノ粒子増感太陽電池の光電流の照射光強度依存性

    川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 松崎秀文, 内田儀一郎, 近藤道雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Light intensity dependence of photo current of nano-particle sensitized solar cells

  • 反応性プラズマ中のナノ粒子成長に対する電力摂動の効果

    鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Effects of Discharge Power Fluctuation on Growth of Nano-Particles in Reactive Plasmas

  • 水素プラズマとグラファイトの相互作用で発生したダストの壁へのフラックスに対する壁電位の影響

    宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Effects of wall potential on flux of dust particles generated due to Interaction between H plasmas and graphite

  • 酸素プラズマを用いたスプラウトの成長促進

    北崎訓, 岩尾拓朗, 古閑一憲, 白谷正治, 林信哉

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Growth rate enhancement of radish sprouts using oxygen plasma

  • プラズマCVD炭素薄膜の製膜形状の基板温度依存性

    野村卓矢, 浦川達也, 是永有輝, 山下大輔, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Dependence of deposition profile of plasma CVD carbon films on substrate temperature

  • 高ガス圧力微結晶シリコン製膜条件における結晶化率2次元分布

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    2-dimensional mapping of crysallinity of μc-Si films deposited under high gas pressure

  • SiH4+ B10H14マルチホロー放電プラズマCVD法を用いたBドープa-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 山下大輔, 松崎秀文

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Deposition of B doped a-Si:H using SiH4+ B0H4 multi-hollow discharge plasma CVD

  • ダブルマルチホロー放電によるシリコン粒子の表面窒化

    内田儀一郎, 佐藤宗治, 川嶋勇毅, 中原賢太, 山本康介, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会学術講演会  2010.9 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

    Surface nitridation of silicon particles by double multi-hollow discharges

  • シリコンナノ微粒子の生成と太陽電池への応用

    内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成22年度東北大学電気通信研究所共同プロジェクト研究会「微粒子プラズマの応用に関する基礎的研究」  2010.8 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ホテルクレセント, 仙台   Country:Japan  

  • Control of nano-block transport using amplitude modulated pulse rf discharges (selected as a presentation in Hot Topic Session) International conference

    S. Iwashita, H. Miyata, K. Koga, M. Shiratani, U. Czarnetzki

    20th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG2010)  2010.7 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Novi Sad, Serbia   Country:Other  

  • Evolution of green plasma nanotechnology for harvesting energy devices (Invited) Invited International conference

    M. Shiratani, G. Uchida, K. Koga

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics  2010.7 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Jeju Hotel   Country:Korea, Republic of  

  • Stimulation of plant growth using discharges plasmas International conference

    S. Kitazaki, T. Iwao, K. Koga, M. Shiratai, N. Hayashi

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics  2010.7 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Jeju Hotel   Country:Korea, Republic of  

  • Generation of Si particles and their nitridation using double multihollow discharges International conference

    M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics  2010.7 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ramada Jeju Hotel   Country:Korea, Republic of  

  • Deposition of cluster-free P-doped a-Si:H films using a multi-hollow discharge plasma CVD method International conference

    K. Nakahara, Y. Kawashima, T. Matsunaga, K. Koga, M. Shiratani

    35th IEEE Photovoltaic Specialists Conference (PVSC)  2010.6 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Honolulu, Hawaii  

  • Si quantum dot-sensitized solar cells using Si nanoparticles produced by plasma CVD International conference

    Y. Kawashima, K. Nakahara, T. Matsunaga, H. Sato, K. Koga, M. Shiratani, M. Kondo

    35th IEEE Photovoltaic Specialists Conference (PVSC)  2010.6 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Honolulu, Hawaii  

  • SiH4+PH3マルチホロー放電プラズマCVDによるn型a-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    九州表面・真空研究会2010(兼第15回九州薄膜表面研究会)  2010.6 

     More details

    Event date: 2010.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVD法を用いた 微結晶シリコン薄膜の作製と膜質評価

    松永剛明, 川嶋勇毅, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    九州表面・真空研究会2010(兼第15回九州薄膜表面研究会)  2010.6 

     More details

    Event date: 2010.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • ナノ粒子含有シリコン薄膜の光学特性

    佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    九州表面・真空研究会2010(兼第15回九州薄膜表面研究会)  2010.6 

     More details

    Event date: 2010.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Flux Measurements of Dust Particles during Hydrogen Discharges in LHD International conference

    S. Iwashita, H. Miyata, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group

    19th International Conference on Plasma Surface Interactions in Controlled Fusion Devices (PSI2010)  2010.5 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Diego, California  

  • インジウム系太陽電池CIGSの気管内投与後の肺および血清金属濃度

    田中昭代、平田美由紀、清原裕、古閑一憲、白谷正治

    第80回日本衛生学会総会  2010.5 

     More details

    Event date: 2010.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター   Country:Japan  

  • SiH4+PH3マルチホロー放電プラズマCVDによるPドープa-Si:Hの製膜

    中原賢太, 佐藤宙, 川嶋勇毅, 古閑一憲, 白谷正治

    春季第57回応用物理学関係連合講演会  2010.3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

    Deposition of P doped a-Si:H in using SiH4+PH3 multi-hollow discharge plasma CVD

  • 放電電力摂動のイオン密度への影響

    宮田大嗣, 岩下伸也, 山田泰之, 古閑一憲, 白谷正治

    春季第57回応用物理学関係連合講演会  2010.3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

    Influence of perturbation of discharge power on ion density

  • 多面体ホルダによるLHD内ダストのin-situ捕集

    岩下伸也, 宮田大嗣, 山田泰之, 古閑一憲, 白谷正治, 芦川直子, 増崎 貴, 西村清彦, 相良明男, LHD実験グループ

    春季第57回応用物理学関係連合講演会  2010.3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

    In-situ sampling of dust particles in LHD using a polyhedral holder

  • Ar+H2+C7H8 プラズマの電子密度計測

    野村卓矢, 是永有輝, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根 誠, 堀勝

    春季第57回応用物理学関係連合講演会  2010.3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

    Electron density measurements of Ar+H2+C7H8 plasmas

  • 無対流アーク放電によるナノチューブ合成過程のミー散乱測定

    三重野哲, 薄葉州, 古閑一憲, 白谷正治

    春季第57回応用物理学関係連合講演会  2010.3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

    Mie scattering measurement of growing process of nanotube-clusters under convection-free arc discharge

  • 高周波酸素/水プラズマによるシステインの酸化特性

    林信哉, 中東朱里, 柳生義人, 古閑一憲, 白谷正治

    春季第57回応用物理学関係連合講演会  2010.3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

    Oxidation of cystein using oxygen/water vapor RF plasma

  • プラズマCVDを用いたシリコンナノ粒子の作製と第三世代太陽電池への応用

    川嶋勇毅, 中原賢太, 佐藤 宙, 古閑一憲, 白谷正治, 近藤道雄

    春季第57回応用物理学関係連合講演会  2010.3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

    Synthesis of Si nanoparticles using plasma CVD and their application for the third generation photovoltaics

  • Frontier science of interactions between plasmas and nano-interfaces (Invited) Invited International conference

    M. Shiratani, K. Koga

    The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Toxicity of CIGS nano-particles International conference

    S. Iwashita, H. Miyata, Y. Yamada, K. Koga, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka

    The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology, Nagoya   Country:Japan  

  • Surface temperature rise of a-Si:H films during deposition in silane multi-hollow discharges International conference

    Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani

    The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Hα emission intensity measurements of H2 + Ar + C7H8 plasmas in H-assisted plasma CVD reactor International conference

    T. nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Effects of amplitude modulation of RF discharge voltage on ion saturation current International conference

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani

    The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Deposition of n-type a-Si:H using SiH4+PH3 multi-hollow discharge plasma CVD International conference

    K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani

    The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Deposition of n-type a-Si:H using SiH4+PH3 multi-hollow discharge plasma CVD International conference

    K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani

    The 2nd International Student Workshop on Electrical Engineering  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Surface temperature rise of a-Si:H films during deposition in silane multi-hollow discharges International conference

    Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani

    The 2nd International Student Workshop on Electrical Engineering  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Hα emission intensity measurements of H? + Ar + C?H? plasmas in H-assisted plasma CVD reactor International conference

    T. Nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 2nd International Student Workshop on Electrical Engineering  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Effects of amplitude modulation of RF discharge voltage on ion saturation current International conference

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani

    The 2nd International Student Workshop on Electrical Engineering  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Control of surface roughness of nano-particle composite low-k film deposited in CVD plasma International conference

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, M. Akiyama

    2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Gas Flow Rate Ratio Dependence of Deposition Profile of H-Assisted Plasma CVD Carbon Films on Trench Substrates International conference

    T. Nomura, Y. Korenaga, J. Umetsu, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • High Deposition Rate of a-Si:H Films of Low Stabilized Defect Density International conference

    W. M. Nakamura, H. Sato, K. Koga, M. Shiratani

    2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Synthesis of crystalline Si nanoparticles for Quantum dots sensitized solar cells International conference

    Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo

    2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Effects of H2 Dilution on Deposition of a-Si:H Films using Silane Multi-Hollow Discharge Plasma CVD International conference

    K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani

    2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010)  2010.3 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  • Thin film silicon solar cells in the age of GW production lines (Invited) Invited International conference

    M. Shiratani, K. Koga

    The Second International Symposium of Experiment-Integrated Computational Chemistry on Multiscale Fluidics (ECCMF2)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai Excel Hotel Tokyu, Miyagi   Country:Japan  

  • Measurement of electron density in multi-hollow discharges with magnetic field

    H. Sato, Y. Kawashima, K. Nakahara, K. Koga, M. Shiratani

    第27回プラズマプロセシング研究会(SPP-27)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館,   Country:Japan  

  • Observation of nano-particle transport in capacitively coupled radio frequency discharge plasmas

    S. Iwashita, H. Miyata, Y. Yamada, H. Matsuzaki, K. Koga, M. Shiratani

    第27回プラズマプロセシング研究会(SPP-27)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館,   Country:Japan  

  • In-Situ Sampling of Dust Particles Produced Due to Interaction between Main Discharge Plasmas and Inner Wall in LHD

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    第27回プラズマプロセシング研究会(SPP-27)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館,   Country:Japan  

  • In-situ Measurement of Production Process of Nanotube-Aggregates by the Laser-Mie Scattering (Dependence of Arc Condition and Gravity)

    T. Mieno, G. Tan, S. Usuba, K. Koga, M. Shiratani

    第27回プラズマプロセシング研究会(SPP-27)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館,   Country:Japan  

  • Control of deposition profile of hard carbon films on trenched substrates using H-assisted plasma CVD reactor

    T. Nomura, Y. Korenaga, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    第27回プラズマプロセシング研究会(SPP-27)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館,   Country:Japan  

  • Synthesis of crystalline Si nanoparticles for Quantum dots-sensitized solar cells using multi-hollow discharge plasma CVD

    Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo

    第27回プラズマプロセシング研究会(SPP-27)  2010.2 

     More details

    Event date: 2010.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館,   Country:Japan  

  • Towards the multiple exciton generation solar cells ? Si quantum-dot sensitized solar cells (Invited) Invited International conference

    M. Shiratani, Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga

    The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering)  2010.1 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • Nano-particle composite ULK films deposited by plasma CVD International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering)  2010.1 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • Effects of hydrogen dilution on electron density in multi-hollow discharges with magnetic field International conference

    K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani

    The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering)  2010.1 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • Dependence of emission intensities on discharge power of H atom source in H2 + Ar + C7H8 CVD plasmas International conference

    T. Nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering)  2010.1 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • Carrier extraction from Si nanoparitcles in quantum dots-sensitized solar cell International conference

    Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo

    The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering)  2010.1 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • Carbon dust formed due to interaction between graphite and H2 plasma International conference

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani

    The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering)  2010.1 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  • 2次元フォトンカウンティングレーザー散乱法を用いたCVDプラズマ中のナノ粒子輸送の観察

    宮田大嗣, 岩下伸也, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • ヘリコンプラズマ・カーボン壁相互作用で生成されるダストの分析

    岩下伸也, 宮田大嗣, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • トルエン水素プラズマを用いたカーボン薄膜堆積に対するイオン・ラジカルフラックスの影響

    野村卓矢, 梅津潤, 井上和彦, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • シランホロ―放電のプラズマパラメータに対する磁場印加の効果

    中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • カーボン薄膜異方性製膜用Ar+H2+C7H8プラズマのプラズマパラメータ計測

    梅津潤, 井上和彦, 野村卓矢, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    プラズマ・核融合学会 九州・沖縄・山口支部 第12回支部大会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • シリコンナノ粒子を用いた量子ドット増感太陽電池

    川嶋勇毅, 中原賢太, 佐藤宙, 古閑一憲, 白谷正治, 近藤道雄

    19th Academic Symposium of MRS-Japan 2009  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜   Country:Japan  

  • プラズマCVDによるSiOCHナノ粒子構造の多孔質低誘電率膜

    宮田大嗣, 岩下伸也, 山田泰之, 松崎秀文, 古閑一憲, 白谷正治, 秋山守人

    19th Academic Symposium of MRS-Japan 2009  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜   Country:Japan  

  • プラズマCVDカーボン薄膜のトレンチ基板上への製膜形状の圧力依存性

    野村卓矢, 是永有輝, 梅津潤, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    19th Academic Symposium of MRS-Japan 2009  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜   Country:Japan  

  • Application of Si nanoparticles to third generation photovoltaics

    M. Shiratani, Y. Kawashima, K. Koga

    2nd International Symposium on Innovative Solar Cells  2009.12 

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:エポカル筑波   Country:Japan  

  • LHDの主放電と内壁の相互作用で発生するダストのフラックス

    岩下伸也, 宮田大嗣, 山田泰之, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第26回プラズマ・核融合学会年会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:京都   Country:Japan  

  • プラズマ―バイオ融合科学:プラズマプロセスの観点から(招待講演) Invited

    白谷正治, 古閑一憲

    第26回プラズマ・核融合学会年会  2009.12 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:京都   Country:Japan  

  • Deposition Profile Control of Carbon Films on Patterned Substrates using a Hydrogen-assited Plasma CVD Method International conference

    T. Nomura, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    2009 MRS Fall Meeting  2009.12 

     More details

    Event date: 2009.11 - 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston  

  • Amplitude modulated pulse RF discharges for producing and driving nano-blocks International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    American Vacuum Society 56th International Symposium  2009.11 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Jose  

  • Production of crystalline Si nanoparticles for third generation photovoltaics using a multi-hollow discharge plasma CVD method International conference

    Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo

    American Vacuum Society 56th International Symposium  2009.11 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Jose  

  • Deposition profile of carbon films in submicron wide trenches using H-assisted plasma International conference

    T. Nomura, K. Koga, M. Shiratani, M. Sekine, Y. Setsuhara, M. Hori

    American Vacuum Society 56th International Symposium  2009.11 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Jose  

  • Carbon particle formation due to interaction between graphite, helicon plasmas International conference

    M. Shiratani, S. Iwashita, H. Miyata, K. Koga

    American Vacuum Society 56th International Symposium  2009.11 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Jose  

  • Manipulation of nanoparticles using plasmas International conference

    M. Shiratani, S. Iwashita, H. Miyata, K. Koga

    10th Workshop on Fine Particle Plasmas  2009.11 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • 容量結合型高周波放電プラズマ中のナノブロック輸送の観察

    宮田大嗣, 山田泰之, 岩下伸也, 古閑一憲, 白谷正治

    応用物理学会九州支部学術講演会  2009.11 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • 炭素系薄膜のトレンチ基板上への製膜形状のイオンエネルギー依存性

    野村卓也, 是永有輝, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    応用物理学会九州支部学術講演会  2009.11 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • 振幅変調パルス放電を用いたナノ粒子含有多孔質低誘電率膜の作製

    岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 秋山守人

    第25回 九州・山口プラズマ研究会  2009.11 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • 重水素ヘリコンプラズマ・カーボン壁相互作用で生成されるダストのサイズ分布

    山田泰之, 宮田大嗣, 岩下伸也, 古閑一憲, 白谷正治

    応用物理学会九州支部学術講演会  2009.11 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • マルチホロー放電プラズマCVDによる結晶シリコンナノ粒子のサイズ制御

    川嶋勇毅, 中原賢太, 佐藤宙, 古閑一憲, 白谷正治

    応用物理学会九州支部学術講演会  2009.11 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • プラズマCVD炭素系薄膜のトレンチ基板上への製膜形状のガス流量比依存性

    是永有輝, 野村卓也, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    応用物理学会九州支部学術講演会  2009.11 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • H2+SiH4マルチホロー放電プラズマの電子密度計測

    佐藤宙, 中原賢太, 川嶋勇毅, 古閑一憲, 白谷正治

    応用物理学会九州支部学術講演会  2009.11 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • Flux of dust particles formed due to plasma-wall interaction in LHD International conference

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, S. Masuzaki, LHD Experimental Group

    10th Workshop on Fine Particle Plasmas  2009.11 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所, 岐阜   Country:Japan  

  • Ultrahigh quality amorphous silicon film deposition for solar cell employing novel plasma enhanced CVD (Invited) Invited International conference

    M. Shiratani, K. Koga

    62nd Gaseous Electronics Conference  2009.10 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Saratoga Springs, NY  

  • Nanoblock manipulation in CVD plasmas International conference

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani

    62nd Gaseous Electronics Conference  2009.10 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Saratoga Springs, NY  

  • Towards plasma nano-factories (Invited) Invited International conference

    M. Shiratani, K. Koga

    2nd International Conference on Advanced Plasma Technologies (iCAPT-II) with 1st International Plasma Nanoscience Symposium (iPlasmaNano-I)  2009.10 

     More details

    Event date: 2009.9 - 2009.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Piran   Country:Slovenia  

  • Dust Particles Formed owing to Interactions between H2 or D2 Helicon Plasmas, Graphite International conference

    H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani

    2009 International Symposium on Dry Process  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Synthesis of Si nanoparticles for multiple exciton generation solar cells using multi-hollow discharge plasma CVD International conference

    Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo

    2009 International Symposium on Dry Process  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Synthesis of Si Nanoparticles for Multiple Exciton Generation Solar Cells using Multi-Hollow Discharge Plasma CVD International conference

    Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo

    2009 International Symposium on Dry Process  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Pressure, aspect ratio dependence of deposition profile of carbon films on trench substrates deposited by plasma CVD International conference

    T. Nomura, Y. Korenaga, J. Umetsu, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    2009 International Symposium on Dry Process  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Porosity Control of Nano-particle Composite Porous Low Dielectric Films using Pulse RF Discharges with Amplitude Modulation International conference

    S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama

    2009 International Symposium on Dry Process  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Measurements of Surface Temperature of a-Si:H Films in Silane Multi-Hollow Discharge with IR Thermometer International conference

    H. Sato, Y. Kawashima, K. Koga, M. Shiratani

    2009 International Symposium on Dry Process  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Measurements of Electron Density in SiH4+H2 Multi-Hollow Discharges using a Frequency Shift Probe International conference

    K. Nakahara, Y. Kawashima, H. Sato, K. Koga, M. Shiratani

    2009 International Symposium on Dry Process  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Production of Si nanoparticles in CVD plasmas for Si thin fillm solar cells of the third generation (Invited) Invited International conference

    M. Shiratani, K. Koga

    Second International Conference on Microelectronics and Plasma Technology (ICMAP2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan   Country:Korea, Republic of  

  • Production of Si nano-particles in CVD plasmas for Si thin film solar cells of the third generation (Invited Talk) International conference

    M. Shiratani, K. Koga

    2nd International Conference on Microelectronics, Plasma Technology (ICMAP 2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Plasma CVD for Si thin fillm solar cells (Invited) Invited International conference

    M. Shiratani, K. Koga

    International Conference on Plasma Surface Engineering (AEPSE2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan   Country:Korea, Republic of  

  • Deposition of porous low-k films having nano-grained structures using amplitude modulated pulse rf discharges International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, M. Akiyama

    Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Plasma CVD for Si thin film solar cells (Invited) Invited International conference

    M. Shiratani, K. Koga

    Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Detection, control of nano-clusters in CVD plasmas for a-Si thin film solar cells International conference

    M. Shiratani, K. Koga

    Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • Aspect ratio dependence of deposition profile of plasma CVD carbon films on trenched substrates International conference

    M. Shiratani, T. Nomura, Y. Korenaga, J. Umetsu, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Sekine, M. Hori

    Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009)  2009.9 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:BEXCO Convention center, Busan   Country:Korea, Republic of  

  • プラズマ中ナノ結晶シリコン成長過程の診断(招待講演) Invited

    白谷正治, 古閑一憲

    第70回応用物理学会学術講演会  2009.9 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  • 放射温度計を用いたシランマルチホロー放電におけるa-Si:H膜の表面温度測定

    佐藤宙, 中原賢太, 川嶋勇毅, 古閑一憲, 白谷正治

    第70回応用物理学会学術講演会  2009.9 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  • プラズマCVD炭素薄膜のトレンチへの製膜形状のガス流量比―入射イオンエネルギーマッピング

    野村卓矢, 是永有輝, 梅津潤, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第70回応用物理学会学術講演会  2009.9 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  • ナノ粒子含有多孔質低誘電率膜物性の粒子サイズ依存性

    岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 秋山守人

    第70回応用物理学会学術講演会  2009.9 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  • シリコン太陽電池製造のためのプラズマ技術の現状と展望(特別招待講演) Invited

    白谷正治, 古閑一憲

    プラズマ科学のフロンティア研究会  2009.9 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • Plasma CVD of Nano-particle Composite Porous SiOCH Films International conference

    M. Shiratani, S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Akiyama

    19th International Symposium on Plasma Chemistry  2009.7 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bochum   Country:Germany  

  • Thin film silicon solar cells: present, future (Invited) Invited International conference

    M. Shiratani, K. Koga

    The 9th Korea-Japan Workshop  2009.7 

     More details

    Event date: 2009.7

    Language:English  

    Venue:Kwangwoon University   Country:Korea, Republic of  

  • Synthesis of crystalline Si nanoparticles for third generation photovoltaics using multi-hollow discharge plasma CVD, photoluminescence of the nanoparticles International conference

    Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo

    The 9th Korea-Japan Workshop  2009.7 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kwangwoon University   Country:Korea, Republic of  

  • クラスタ抑制プラズマCVDを用いた高光安定水素化アモルファスシリコン薄膜の高速堆積

    白谷正治、古閑一憲

    第1回三菱PVコンファレンス  2009.6 

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:三菱重工, 諫早   Country:Japan  

  • Plasma diagnostics of H2 + SiH4 multi-hollow discharges International conference

    H. Sato, W. M. Nakamura, Y. Kawashima, K. Nakahara, H. Matsuzaki, K. Koga,, M. Shiratani

    22nd SYMPOSIUM ON PLASMA SCIENCE FOR MATERIALS  2009.6 

     More details

    Event date: 2009.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:東京大学山上会館   Country:Japan  

  • カーボン薄膜のプラズマCVDにおける微細溝基板上への堆積形状の圧力依存性

    野村卓矢、梅津潤、是永有輝、古閑一憲、白谷正治、節原裕一、関根誠、堀勝

    九州表面・真空研究会(兼)第14回九州薄膜表面研究会  2009.6 

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州工業大学   Country:Japan  

  • 振幅変調パルス放電を用いたナノ粒子含有多孔質絶縁膜の作製と物性評価

    宮田大嗣、岩下伸也、松崎秀文、古閑一憲、白谷正治、秋山守人

    九州表面・真空研究会(兼)第14回九州薄膜表面研究会  2009.6 

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州工業大学   Country:Japan  

  • マルチホロー放電プラズマCVD法を用いて作製した結晶シリコンナノ粒子の第3世代太陽電池への応用

    川嶋勇毅、佐藤宙、古閑一憲、白谷正治、近藤道雄

    九州表面・真空研究会(兼)第14回九州薄膜表面研究会  2009.6 

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州工業大学   Country:Japan  

  • Amplitude modulated pulse RF discharges for synthesizing nano-particle composite porous low-k films International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, M. Akiyama

    Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”  2009.5 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Univ.   Country:Japan  

  • Effects of hydrogen dilution on electron density in multi-hollow disicharge for depositing highly stable a-Si:H thin films International conference

    Y. Kawashima, H. Sato, H. Matsuzaki, K. Koga, M. Shiratani

    Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”  2009.5 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto Univ.   Country:Japan  

  • Health effects of indium compounds: Outcomes of animal, epidemiological studies,, prevention of indium lung (Invited) Invited International conference

    A. Tanaka, M. Hirata, Y. Kiyohara, M. Nakano, K. Omae, M. Shiratani, K. Koga

    6th International Symposium on Transparent Oxide Thin Films for Electronics, Optics (TOEO-6)  2009.4 

     More details

    Event date: 2009.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo Fashion Town Building   Country:Japan  

  • C7H8+H2+Ar混合プラズマにおけるC,CH,C2発光強度のガス流量比依存性

    梅津 潤, 井上和彦, 野村卓矢, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根 誠, 堀  勝

    第56回応用物理学関係連合講演会  2009.4 

     More details

    Event date: 2009.3 - 2009.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  • 水素希釈シランマルチホロー放電における電子密度の空間分布

    佐藤 宙, 田中雅敏, 川嶋勇毅, 中村ウィリアム 誠, 松崎秀文, 古閑一憲, 白谷正治

    第56回応用物理学関係連合講演会  2009.3 

     More details

    Event date: 2009.3 - 2009.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  • マルチホロー放電プラズマCVD法で作製した結晶シリコンナノ粒子のフォトルミネッセンス

    川嶋勇毅, 田中雅敏, 佐藤 宙, 中村ウィリアム 誠, 松崎秀文, 古閑一憲, 白谷正治, 近藤道雄

    第56回応用物理学関係連合講演会  2009.3 

     More details

    Event date: 2009.3 - 2009.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  • ハニカム型有磁場マルチホロー放電を用いた高品質a-Si:Hの2.5nm/s製膜

    田中雅敏, 中村ウィリアム 誠, 佐藤 宙, 川嶋勇毅, 古閑一憲, 松崎秀文, 白谷正治

    第56回応用物理学関係連合講演会  2009.3 

     More details

    Event date: 2009.3 - 2009.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  • 無重力~加重力下アーク放電による炭素クラスター合成の差異

    三重野哲, 薄葉 州, 白谷正治, 古閑一憲

    第56回応用物理学関係連合講演会  2009.4 

     More details

    Event date: 2009.3 - 2009.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  • 針状電極を用いたCVDプラズマ中のナノブロックの3次元輸送制御

    岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治

    第56回応用物理学関係連合講演会  2009.4 

     More details

    Event date: 2009.3 - 2009.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  • ナノ粒子含有ポーラス低誘電率絶縁膜の耐熱性

    岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治

    第56回応用物理学関係連合講演会  2009.4 

     More details

    Event date: 2009.3 - 2009.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  • Plasma manipulation of nano-blocks and its application to ULK film deposition (Invited) Invited International conference

    M. Shiratani, S. Iwashita, H. Miyata, K. Koga, M. Akiyama

    International Conference on Plasma Nano Technology & Science (IC-PLANTS2009)  2009.3 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • Characteristics of dust particles produced due to interaction between hydrogen plasmas, graphite

    S. Iwashita, H. Miyata, K. Koga, M. Shiratani, N. Ashikawa, A. Sagara, K. Nisimura

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会  2009.2 

     More details

    Event date: 2009.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Nano-block manipulation using pulse RF discharges with amplitude modulation combined with a needle electrode

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会  2009.2 

     More details

    Event date: 2009.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Effects of magnetic fields on multi-hollow discharges for thin film silicon solar cells

    Nakamura W. M., Sato H., Koga K., Shiratani M.

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会  2009.2 

     More details

    Event date: 2009.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Deposition profile of toluene plasma CVD carbon films in trenches

    J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会  2009.2 

     More details

    Event date: 2009.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • High Deposition Rate of Highly Stable a-Si:H Films by Magnetically Enhanced Multi-hollow Discharges International conference

    W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Matsuzaki, K. Koga, M. Shiratani

    2nd International Conference on Plasma-Nano Technology & Science  2009.1 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Deposition profile control of plasma enhanced CVD carbon films in submicron wide trenches International conference

    M. Shiratani, J. Umetsu, T. Nomura, K. Inoue, K. Koga, Y. Setsuhara, M. Sekine, M. Hori

    2nd International Conference on Plasma-Nano Technology & Science  2009.1 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  • Design and controlling of plasma nano-processing for the third generation solar cell devices (Invited) Invited International conference

    M. Shiratani, W. M. Nakamura, H. Sato, K. Koga

    8th International Workshop of Advanced Plasma Processing and Diagnostics  2009.1 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:テクノプラザ, 岐阜   Country:Japan  

  • 2次元フォトンカウンティングレーザー散乱法を用いたCVDプラズマ中のナノ粒子輸送の観察

    宮田大嗣, 宮原弘臣, 岩下伸也, 松崎秀文, 古閑一憲, 白谷正治

    プラズマ・核融合学会 第12回九州・沖縄・山口支部大会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 薄膜シリコン太陽電池作製のためのマルチホロー放電に対する磁場印加の効果

    中村ウィリアム誠, 古閑一憲, 佐藤宙, 白谷正治

    プラズマ・核融合学会 第12回九州・沖縄・山口支部大会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • ヘリコンプラズマ・カーボン壁相互作用で生成されるダストの分析

    岩下伸也, 宮田大嗣, 古閑一憲, 白谷正治

    プラズマ・核融合学会 第12回九州・沖縄・山口支部大会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • トルエン水素プラズマを用いたカーボン薄膜堆積に対するイオン・ラジカルフラックスの影響

    野村卓矢, 梅津潤, 井上和彦, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    プラズマ・核融合学会 第12回九州・沖縄・山口支部大会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • シランホロー放電のプラズマパラメータに対する磁場印加の効果

    中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤 宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治

    プラズマ・核融合学会 第12回九州・沖縄・山口支部大会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • カーボン薄膜異方性製膜用トルエン水素プラズマのプラズマパラメータ計測

    梅津潤, 井上和彦, 野村卓矢, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    プラズマ・核融合学会 第12回九州・沖縄・山口支部大会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • マルチホロー放電プラズマCVD法を用いて作製した、ナノ結晶シリコン薄膜の光電特性

    川嶋勇毅、古閑一憲、中村ウィリアム誠、佐藤宙、田中雅敏、白谷正治

    第2回プラズマ新領域研究会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:広島大学   Country:Japan  

  • 有磁場マルチホロー放電プラズマCVD法を用いた高光安定a-Si:H膜の1.2nm/sでの堆積

    中村 ウィリアム 誠、田中 雅敏、川嶋 勇毅 、佐藤 宙、宮原 弘臣、松崎 秀文、古閑 一憲、白谷 正治

    第2回プラズマ新領域研究会  2008.12 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:広島大学   Country:Japan  

  • Control of nano-block transport in asymmetric capacitively coupled discharges International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    9th Workshop on Fine Particle Plasmas  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:NIFS   Country:Japan  

  • Deposition of Nano-particle Composite Porus Low-k Films Using Pulse RF Discharges with Amplitude Modulation International conference

    M. Shiratani, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga

    The IUMRS International Conference in Asia 2008  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋市   Country:Japan  

  • Pressure Dependence of Deposition Rate of a-Si:H Films Deposited Using a Multi-hollow Discharge International conference

    H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga

    The IUMRS International Conference in Asia 2008  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋市   Country:Japan  

  • Effects of Hydrogen Dilution on Deposition of a-Si:H Films using a Multi-hollow Dischage Plasma CVD Method International conference

    H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga

    The IUMRS International Conference in Asia 2008  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋市   Country:Japan  

  • Evaluation of 2D Spatial Profiles of Volume Fraction of Clusters Incorporated into a-Si:H Films International conference

    H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    The IUMRS International Conference in Asia 2008  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋市   Country:Japan  

  • Discharge Power Dependence of Ha Intensity in H2+C7H8 Capacitively Coupled Dicharges International conference

    K. Inoue, J. Umetsu, T. Nomura, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The IUMRS International Conference in Asia 2008  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋市   Country:Japan  

  • Dependence of Deposition Rate of Carbon Films in Trenches on Substrate Temperature, Aspect Ratio Using H-assisted Plasma CVD Reactor International conference

    J. Umetsu, K. Inoue, T. Noumura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The IUMRS International Conference in Asia 2008  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋市   Country:Japan  

  • Formation of Dust Particles due to Interaction between Graphite, Deuterium Helicon Plasmas International conference

    M. Shiratani, S. Iwashita, H. Miyata, K. Koga

    The IUMRS International Conference in Asia 2008  2008.12 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋市   Country:Japan  

  • シランマルチホロー放電を用いたa-Si:H製膜における水素希釈の効果

    佐藤 宙, 田中雅敏, 川嶋勇毅, 中村ウィリアム誠, 宮原浩臣, 古閑一憲, 松崎秀文, 白谷正治

    2008年度 応用物理学会九州支部学術講演会  2008.11 

     More details

    Event date: 2008.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎大学   Country:Japan  

  • 低気圧ホロー放電プラズマCVDを用いたa-Si:H薄膜の製膜速度の向上

    田中 雅敏, 佐藤 宙, 中村 誠 ウイリアム, 川嶋 勇毅, 古閑 一憲, 宮原 弘臣, 松崎 秀文, 白谷 正治

    2008年度 応用物理学会九州支部学術講演会  2008.11 

     More details

    Event date: 2008.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎大学   Country:Japan  

  • 炭素系薄膜のトレンチ基板上への製膜速度のアスペクト比・基板温度依存性

    野村卓矢, 梅津潤, 井上和彦, 松崎秀文, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    2008年度 応用物理学会九州支部学術講演会  2008.11 

     More details

    Event date: 2008.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎大学   Country:Japan  

  • パルスプラズマを用いたナノブロックの3次元輸送制御

    宮田 大嗣, 岩下 伸也, 松崎 秀文, 古閑 一憲, 白谷 正治

    2008年度 応用物理学会九州支部学術講演会  2008.11 

     More details

    Event date: 2008.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎大学   Country:Japan  

  • ナノ結晶シリコン薄膜の導電率の照射光強度依存性

    川嶋 勇毅, 古閑 一憲, 白谷 正治

    2008年度 応用物理学会九州支部学術講演会  2008.11 

     More details

    Event date: 2008.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:宮崎大学   Country:Japan  

  • Deposition profile of plasma CVD carbon films in trenches International conference

    J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    30th International Symposium on Dry Process  2008.11 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  • Plasma CVD of Nano-particle Composite Porous Films of k=1.4-2.9, Young's Modulus above 10 GPa International conference

    S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama

    30th International Symposium on Dry Process  2008.11 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  • Control of deposition profile of plasma CVD hard carbon films on substrates with trenches International conference

    M. Shiratani, J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Sekine, M. Hori

    61st Annual Gaseous Electronics Conference  2008.10 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Marriott Dallas/Addison Quorum  

  • Nano-particle manipulation using pulse RF discharges with amplitude modulation International conference

    S. Iwashita, K. Koga, M. Shiratani

    61st Annual Gaseous Electronics Conference  2008.10 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Marriott Dallas/Addison Quorum  

  • Analysis of dust particles produced due to interaction between graphite, deuterium helicon plasmas International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    61st Annual Gaseous Electronics Conference  2008.10 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Marriott Dallas/Addison Quorum  

  • Formation, transport of nano-particles using pulse RF discharges with amplitude modulation International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    ICPP2008 Satellite Meeting on Plasma Physics, Advanced Applications in Aso  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:熊本   Country:Japan  

  • A Comparison of Dust Particles Produced due to Interaction between Graphite, Plasmas: LHD vs Helicon Discharges International conference

    S. Iwashita, K. Koga, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, LHD Experimental Group

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Substrate Temperature of Deposition Profile of Carbon Films in Trenches Deposited by Plasma CVD International conference

    J. Umetsu, K. Inoue, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Optical Emission Spectroscopy of a Magnetically Enhanced Multi-hollow Discharge Plasma for a-Si:H Deposition International conference

    W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Manipulation of Nano-blocks Formed in CVD Plasmas using Pulse RF Discharges with Amplitude Modulation International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Main Discharge Power Dependence of Emission Intensities in H2+C7H8 Plasmas International conference

    K. Inoue, J. Umetsu, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • In-situ Measurement of Production Process of Carbon Clusters under Gravity-free Condition by the Mie-scattering Method International conference

    T. Mieno, G. Tan, S. Usuba, K. Koga, M. Shiratani

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Development of Combinatorial Plasma-process Analyzer for Next-generation Plasma-nano-fabrications International conference

    Y. Setsuhara, K. Takenaka, M. Shiratani, K. Koga, K. Takeda, M. Sekine, M. Hori

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Detection of Nano-particles Formed in CVD Plasmas using Two-dimentional Photon-counting Laser-light-scattering Method International conference

    H. Miyahara, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Dependence of Volume Fraction of Clusters on Deposition Rate of a-Si:H films Dposited using a Multi-hollow Discharge Plasma CVD Method International conference

    H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • Dependence of Deposition Rate on a Ratio of Ion Flux to Radical Flux in Plasma Anisotropic CVD of C Films International conference

    J. Umetsu, K. Inoue, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    International Congress on Plasma Physics 2008 (ICPP2008)  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka International Congreess Center   Country:Japan  

  • LHD で捕集したダストの分析 I

    岩下伸也, 古閑一憲, 白谷正治, 芦川直子, 西村清彦, 相良明男

    2008年秋季第69回応用物理学会学術講演会  2008.9 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • 振幅変調パルスRF 放電を用いたナノ粒子輸送の観察

    岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 森貞佳紀, 松木信雄, 池田慎悟

    2008年秋季第69回応用物理学会学術講演会  2008.9 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • マルチホロー放電中の電子エネルギー分布への磁場印加効果

    中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤 宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治

    2008年秋季第69回応用物理学会学術講演会  2008.9 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • マルチホロー放電プラズマCVD法でのa-Si:H製膜速度の圧力依存性

    佐藤宙, 中村ウィリアン誠, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治

    2008年秋季第69回応用物理学会学術講演会  2008.9 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • イオン・ラジカルフラックスによるトレンチ基板へのカーボン薄膜堆積形状制御

    梅津潤, 井上和彦, 野村卓矢, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    2008年秋季第69回応用物理学会学術講演会  2008.9 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  • High deposition rate of highly stable a-Si:H films for the third generation of photovoltaics International conference

    W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    The 7th International Workshop on Advanced Plasma Processing, Diagnostics  2008.7 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon   Country:Korea, Republic of  

  • Nanoparticle suppressed plasma CVD for depositing stable a-Si:H (Invited) Invited International conference

    M. Shiratani, K. Koga

    2008 The fifteenth international workshop on active-matrix flatpanel displays and devices  2008.7 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:日本科学未来館   Country:Japan  

  • In-situ, ex-situ sampling of dust particles formed due to interaction between graphite, deuterium helicon plasmas International conference

    S. Iwashita, H. Miyata, K. Koga, M. Shiratani

    ITER International Summer School 2008  2008.7 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kasuga   Country:Japan  

  • Evaluation of 2D spatial profile of volume fraction of clusters in a-Si:H films deposited by using a multi-hollow discharge plasma CVD method

    中村ウィリアム誠, 川嶋勇毅, 田中雅敏, 佐藤宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治

    平成20年度応用物理学会九州支部と薄膜・表面物理分科会共催による研究会 (兼)第13回九州薄膜表面研究会 「新奇な薄膜・表面現象とその応用の最前線」  2008.6 

     More details

    Event date: 2008.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 振幅変調高周波放電を用いたポーラス低誘電率膜の作製と物性評価

    岩下伸也, 宮田大嗣, 松崎秀文, 古閑一憲, 白谷正治, 森貞佳紀, 松木信雄, 池田慎悟

    平成20年度応用物理学会九州支部と薄膜・表面物理分科会共催による研究会 (兼)第13回九州薄膜表面研究会 「新奇な薄膜・表面現象とその応用の最前線」  2008.6 

     More details

    Event date: 2008.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • 基板内微細溝へのカーボン薄膜製膜速度の基板温度依存性

    梅津 潤, 井上和彦, 野村卓矢, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    平成20年度応用物理学会九州支部と薄膜・表面物理分科会共催による研究会 (兼)第13回九州薄膜表面研究会 「新奇な薄膜・表面現象とその応用の最前線」  2008.6 

     More details

    Event date: 2008.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • Deposition profile control of plasma CVD films on nano-patterned substrates (Invited) Invited International conference

    M. Shiratani, K. Koga

    Interfinish 2008  2008.6 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Haeundae Grand Hotel in Busan   Country:Korea, Republic of  

  • Rapid deposition of porous ultra low-k films using pulse RF discharges with amplitude modulation International conference

    S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani

    Interfinish 2008  2008.6 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Haeundae Grand Hotel in Busan   Country:Korea, Republic of  

  • Heat treatment with high pressure water vapor of hydrogenated amorphous silicon International conference

    H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    Interfinish 2008  2008.6 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Haeundae Grand Hotel in Busan   Country:Korea, Republic of  

  • Evaluation of two dimensional spatial profiles of volume fraction of nanoparticles incorporated into a-Si:H films International conference

    W. M. Nakamura, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    Interfinish 2008  2008.6 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Haeundae Grand Hotel in Busan   Country:Korea, Republic of  

  • Control of deposition profile of hard carbon films on substrates having trenches International conference

    J. Umetsu, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, M. Shiratani

    Interfinish 2008  2008.6 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Haeundae Grand Hotel in Busan   Country:Korea, Republic of  

  • Si thin films of second and third generation photovoltaics (Invited) Invited International conference

    M. Shiratani, K. Koga, W. M. Nakamura, H. Sato, S. Nunomura, M. Kondo

    International workshop on merging state-of-the-art plasma science into novel technologies  2008.5 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Eindhoven   Country:Netherlands  

  • Plasma processes for developing nanosystems in the 3rd generation nanotechnology (Invited) Invited International conference

    M. Shiratani, K. Koga, S. Iwashita, S. Nunomura, M. Kondo

    6th EU-Japan Joint Symposium on Plasma Processing  2008.4 

     More details

    Event date: 2008.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:沖縄コンベンションセンター   Country:Japan  

  • 2次元フォトンカウンティングレーザー散乱法を用いたCVDプラズマ中のナノ粒子の検出

    岩下伸也, 守田道仁, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治, 森貞佳紀, 松木信雄, 池田慎悟

    第55回応用物理学関係連合講演会  2008.3 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日本大学   Country:Japan  

  • 重水素ヘリコンプラズマ・カーボン壁相互作用で生成されるダストのin-situ捕集

    岩下伸也, 古閑一憲, 白谷正治

    第55回応用物理学関係連合講演会  2008.3 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日本大学   Country:Japan  

  • コンビナトリアルプラズマ解析装置の創製:トレンチ基板上へのカーボン薄膜のコンフォーマル堆積

    梅津潤, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第55回応用物理学関係連合講演会  2008.3 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日本大学   Country:Japan  

  • SiH4有磁場マルチホロー放電の発光分光

    中村ウィリアム誠, 佐藤宙, 宮原弘臣, 松崎秀文, 古閑一憲, 白谷正治

    第55回応用物理学関係連合講演会  2008.3 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日本大学   Country:Japan  

  • Plasma engineering for third generation nanotechnology (Invited) Invited International conference

    M. Shiratani, K. Koga

    International Conference on Plasma Nano Technology & Science 2008  2008.3 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • ミー散乱法によるシリコン微粒子の測定(招待講演) Invited

    白谷正治, 古閑一憲

    東北大学通研共同プロジェクト研究会「微粒子プラズマ科学の展開」  2008.2 

     More details

    Event date: 2008.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • Emission Spectroscopy of Magnetically Enhanced Multi-Hollow Discharges

    W. M. Nakamura, H. Sato, J. Umetsu, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani

    第25回プラズマプロセシング研究会  2008.1 

     More details

    Event date: 2008.1 - 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山口県教育会館・ゆ~あいプラザ山口県社会福祉会館   Country:Japan  

  • Plasma CVD of nano-particle composite porous low-k films usihg pulse RF discharges with amplitude modulation

    S. Iwashita, Michihito Morita, K. Koga, M. Shiratani

    第25回プラズマプロセシング研究会  2008.1 

     More details

    Event date: 2008.1 - 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山口県教育会館・ゆ~あいプラザ山口県社会福祉会館   Country:Japan  

  • Measurement of Diffusion, Cohesion Process of Carbon Clusters by Mie Scattering

    T. Mieno, S. Usuba, K. Koga, M. Shiratani

    第25回プラズマプロセシング研究会  2008.1 

     More details

    Event date: 2008.1 - 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山口県教育会館・ゆ~あいプラザ山口県社会福祉会館   Country:Japan  

  • In-situ observation of nano-block transport in CVD plasmas using two-dimensional photon counting laser-light scattering method

    S. Iwashita, Michihito Morita, K. Koga, M. Shiratani

    第25回プラズマプロセシング研究会  2008.1 

     More details

    Event date: 2008.1 - 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山口県教育会館・ゆ~あいプラザ山口県社会福祉会館   Country:Japan  

  • Ha emission intensity, electron density in anisotropic CVD plasmas

    J. Umetsu, K. Inoue, K. Takenaka, H. Matsuzaki, K. Koga, M. Shiratani

    第25回プラズマプロセシング研究会  2008.1 

     More details

    Event date: 2008.1 - 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山口県教育会館・ゆ~あいプラザ山口県社会福祉会館   Country:Japan  

  • Formation of carbon dust particles due to interaction between graphite, pulse deuterium helicon plasmas

    S. Iwashita, H. Matsuzaki, K. Koga, M. Shiratani

    第25回プラズマプロセシング研究会  2008.1 

     More details

    Event date: 2008.1 - 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山口県教育会館・ゆ~あいプラザ山口県社会福祉会館   Country:Japan  

  • High-quality amorphous Si formation by sophisticated plasma technology (Invited) Invited International conference

    M. Shiratani, K. Koga

    6th International Workshop of Advanced Plasma Processing and Diagnostics  2008.1 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • LHDにおけるダストのその場サンプリングと分析 (招待講演) Invited

    白谷正治, 切通聡, 岩下伸也, 古閑一憲, 芦川直子, 西村清彦, 相良明男, LHD実験グループ

    第5回LHDにおけるPWI共同研究・検討会  2007.6 

     More details

    Event date: 2007.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:核融合科学研究所   Country:Japan  

  • Control of nanostrucuture of plasma CVD films and its application to third generation photovoltaics (Invited) Invited International conference

    M. Shiratani, W. M. Nakamura, D. Shimokawa, H. Miyahara , K. Koga

    Fifth EU-Japan Joint Symposium on Plasma Processing  2007.3 

     More details

    Event date: 2007.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Beograde   Country:Other  

  • Nanocrystalline silicon/amorphous silicon composite material (Invited) Invited International conference

    M. Shiratani, K. Koga, S. Nunomura, M. Kondo

    International Workshop upon Thin Film Silicon Solar Cells  2007.2 

     More details

    Event date: 2007.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nara   Country:Japan  

  • 無対流炭素クラスターの拡散・凝集過程のミー散乱測定

    三重野哲、薄葉州、古閑一憲、白谷正治

    日本学術会議主催 第24回宇宙利用シンポジウム  2007.1 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日本科学未来館   Country:Japan  

  • In-situ sampling of dust in main and glow discharges in LHD and its analysis (Invited) Invited International conference

    M. Shiratani, S. Kiridoshi, K. Koga, N. Ahikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group

    Joint US-Japan Workshop on Dynamics of Dust Particles in Fusion Devices and Non-diffusive Plasma Transport and Its Statistics in Edge Plasmas of Fusion  2007.1 

     More details

    Event date: 2007.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  • Si thin film deposition process for the future solar cells (Invited) Invited International conference

    M. Shiratani, K. Koga, S. Iwashita, W. M. Nakamura, H. Miyahara, S. Nunomura, M. Kondo

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials  2006.12 

     More details

    Event date: 2006.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  • Production of size-controlled Si nano-crystallites using SiH4+H2 discharges and its application to optoelectronic films (Invited) Invited International conference

    M. Shiratani, K. Koga, Y. Watanabe, S. Nunomura, and M. Kondo

    International Symposium on EcoTopia Science2005 (ISETS05)  2005.8 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  • プラズマプロセスによる結晶シリコンナノ粒子の生成 (招待講演) Invited

    白谷正治, 古閑一憲, 渡辺征夫

    第6回プラズマナノテクノロジ-研究会  2003.12 

     More details

    Event date: 2003.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  • 革新的プラズマCVDを目指して (招待講演) Invited

    白谷正治, 古閑一憲, 渡辺征夫

    第3回インテリジェント・ナノプロセス研究会  2003.12 

     More details

    Event date: 2003.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学   Country:Japan  

  • Anisotropic Cu Deposition using Plasma Chemical Vapor Deposition (Invited) Invited International conference

    M. Shiratani, K. Takenaka, M. Takeshita, M. Kita, K. Koga, and Y. Watanabe

    American Vacuum Society 50th International Symposium  2003.11 

     More details

    Event date: 2003.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Baltimore  

  • 銅のプラズマCVD におけるサブミクロントレンチへの成膜形状制御

    白谷正治, 竹中弘祐, 古閑一憲, 渡辺征夫

    九州・山口プラズマ研究会  2003.11 

     More details

    Event date: 2003.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:九州大学   Country:Japan  

  • VHFプラズマCVDによる結晶シリコンナノ粒子の生成と膜への取り込み (招待講演) Invited

    白谷正治, 古閑一憲, 渡辺征夫

    第30回アモルファスセミナー  2003.11 

     More details

    Event date: 2003.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:岐阜ルネッサンスホテル   Country:Japan  

  • Silicon nano-structure formation using plasma under micro-G and one G conditions (Invited) Invited International conference

    M. Shiratani, T. Kakeya, K. Koga, and Y. Watanabe

    56th Annual Gaseous Electronics Conference  2003.10 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:San Francisco  

  • Copper plasma CVD (Invited) Invited International conference

    M. Shiratani, K. Takenaka, M. Takeshita, K. Koga, and Y. Watanabe

    37th IUVSTA Workshop on Plasma Deposition of Advanced Materials  2003.9 

     More details

    Event date: 2003.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Rolduc   Country:Netherlands  

  • プラズマを用いたSiナノ粒子の形成に対する重力の影響

    白谷正治, 掛谷知秀, 古閑一憲, 渡辺征夫

    電気学会九州支部  2003.9 

     More details

    Event date: 2003.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:崇城大学   Country:Japan  

  • Control of deposition profile of Cu for LSI interconnects by plasma chemical vapor deposition (Invited) Invited International conference

    M. Shiratani, K. Koga, and Y. Watanabe

    16th International Symposium on Plasma Chemistry  2003.6 

     More details

    Event date: 2003.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taormina   Country:Italy  

  • Carbon particle formation due to interaction between H2 plasmas, carbon wall International conference

    M. Shiratani, R. Uehara, K. Koga, Y. Watanabe

    Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas  2002.12 

     More details

    Event date: 2002.12

    Language:English   Presentation type:Oral presentation (general)  

  • 炭素壁と水素プラズマ相互作用に起因する微粒子形成過程解明のための研究

    上原 龍児, 古閑 一憲, 白谷 正治, 渡辺 征夫, 小森 彰夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第6回支部大会  2002.12 

     More details

    Event date: 2002.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマCVD法によるLSI用微細銅配線形成

    竹中 弘祐, 大西 将夫, 竹下 学, 木下 年夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉

    電気学会 プラズマ研究会  2002.12 

     More details

    Event date: 2002.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Silicon nano-particles formed under one-G, micro-gravity plasmas International conference

    M. Shiratani, M. Kai, K. Koga, Y. Watanabe

    Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas  2002.12 

     More details

    Event date: 2002.12

    Language:English   Presentation type:Oral presentation (general)  

  • Conformal, anisotorpic deposition of Cu films using H-assisted plasma CVD International conference

    M. Shiratani, K. Takenaka, M. Onishi, K. Koga, Y. Watanabe, T. Shingen

    American Vaccum Society 49th International Symposium  2002.11 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

  • Cu薄膜形成プラズマCVDにおける核発生と島成長

    竹中 弘祐, 大西 将夫, 竹下 学, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉

    平成14年度応用物理学会九州支部講演会  2002.11 

     More details

    Event date: 2002.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDMDD)2を用いた水素原子アシストプラズマCVDでの高速成膜

    竹下 学, 竹中 弘祐, 大西 将夫, 木下 年夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉

    平成14年度応用物理学会九州支部講演会  2002.11 

     More details

    Event date: 2002.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • a-Si:H薄膜のArプラズマスパッタリングによる新構造Si微粒子の形成

    甲斐 幹英, 掛谷 知秀, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成14年度応用物理学会九州支部講演会  2002.11 

     More details

    Event date: 2002.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 水素原子アシストプラズマCVD法によるLSI用銅配線形成

    白谷 正治, 古閑 一憲, 渡辺 征夫

    第18回九州・山口プラズマ研究会  2002.11 

     More details

    Event date: 2002.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • ナノクラスタ制御プラズマCVDと高品質, 光安定a-Si:H太陽電池への応用

    白谷 正治, 古閑 一憲, 渡辺 征夫

    第29回アモルファスセミナー  2002.11 

     More details

    Event date: 2002.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 微重力プラズマ中のナノ粒子形成

    白谷 正治, 甲斐 幹英, 古閑 一憲, 渡辺 征夫

    第18回日本マイクログラビティ応用学会学術講演会  2002.10 

     More details

    Event date: 2002.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Anisotropic deposition of copper by plasma CVD method International conference

    K. Takenaka, M. Onishi, M. Takenaka, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe

    24th International Symposium on Dry Process  2002.10 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

  • Conformal deposition of ultra thin, smooth Cu films in trenches using Cu(EDMDD)2 by H-assisted plasma CVD International conference

    M. Shiratani, K. Takenaka, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, Y. Watanabe, T. Shingen

    2nd ECS International Semiconductor Technology Conference  2002.9 

     More details

    Event date: 2002.9

    Language:English   Presentation type:Oral presentation (general)  

  • 銅のプラズマ異方性CVDの成膜機構の検討

    竹中 弘祐, 大西 将夫, 竹下 学, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第62回応用物理学学術講演会  2002.9 

     More details

    Event date: 2002.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマスパッタリングにより形成した新構造シリコンナノ微粒子

    甲斐 幹英, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第62回応用物理学学術講演会  2002.9 

     More details

    Event date: 2002.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中のSiクラスタ成長過程に対する水素希釈の影響

    針貝 篤史, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第62回応用物理学学術講演会  2002.9 

     More details

    Event date: 2002.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDMDD)2を用いたH原子アシストプラズマCVD法によるCu薄膜形成:主放電電力依存性

    竹中 弘祐, 竹下 学, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元俊哉

    第62回応用物理学学術講演会  2002.9 

     More details

    Event date: 2002.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマを用いたSi新ナノ構造形成

    白谷 正治, 古閑 一憲, 渡辺 征夫

    第62回応用物理学学術講演会  2002.9 

     More details

    Event date: 2002.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Correlation between Si cluster amount in silane HF discharges, quality of a-Si:H films International conference

    M. Shiratani, M. Kai, K. Imabeppu, K. Koga, Y. Watanabe

    Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas  2002.7 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

  • Conformal deposition of pure Cu films in trenches by H-assisted plasma CVD using Cu(EDMDD)2 International conference

    K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen

    Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas  2002.7 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

  • Anisotropic deposition of Cu with H-assisted plasma CVD International conference

    K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe

    Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas  2002.7 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

  • Cluster-supressed plasma CVD for deposition of high quality a-Si:H films (Invited) International conference

    M. Shiratani, K. Koga, Y. Watanabe

    European Materials Research Society 2002 Spring Meeting  2002.6 

     More details

    Event date: 2002.6

    Language:English   Presentation type:Oral presentation (general)  

  • Anisotropic deposition of copper by H-assisted plasma chemical vapor deposition International conference

    K. Takenaka, M. Shiratani, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, Y. Watanabe

    European Materials Research Society 2002 Spring Meeting  2002.6 

     More details

    Event date: 2002.6

    Language:English   Presentation type:Oral presentation (general)  

  • Deposition of Cu films in trenches for LSI interconnects by H-assisted plasma CVD method International conference

    K. Takenaka, M. Onishi, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen

    International Workshop on Information, Electrical Engineering (IWIE2002)  2002.5 

     More details

    Event date: 2002.5

    Language:English   Presentation type:Oral presentation (general)  

  • 低圧シランプラズマ中の微粒子成長機構

    白谷 正治, 古閑 一憲, 渡辺 征夫

    北陸先端科学技術大学院大学材料科学研究科研究科フォーラム  2002.3 

     More details

    Event date: 2002.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • H原子アシストプラズマCVD法による銅の異方性成膜

    竹中 弘祐, 大西 将夫, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第49回応用物理学関係連合講演会  2002.3 

     More details

    Event date: 2002.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDMDD)2を用いたH原子アシストプラズマCVDによるトレンチ内への銅薄膜コンフォーマル堆積

    竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第49回応用物理学関係連合講演会  2002.3 

     More details

    Event date: 2002.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Deposition of high quality Si films by suppressing cluster growth in SiH4 high-frequency discharges International conference

    M. Shiratani, K. Koga, Y. Watanabe

    Seminar of Particle Technology Division of Korean Chemical Engineering  2002.2 

     More details

    Event date: 2002.2

    Language:English   Presentation type:Oral presentation (general)  

  • クラスタ抑制プラズマCVD法によるSi薄膜の高品質化

    渡辺 征夫, 古閑 一憲, 白谷 正治

    シリコンテクノロジー第37回研究会  2002.1 

     More details

    Event date: 2002.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cluster formation model in SiH4 plasmas, its application to deposition of high quality a-Si:H films International conference

    M. Shiratani, M. Kai, K. Imabeppu, K. Koga, Y. Watanabe

    Fine Particle Plasmas: Basis, Applications - Second Workshop on Fine Particle Plasmas  2001.12 

     More details

    Event date: 2001.12

    Language:English   Presentation type:Oral presentation (general)  

  • プラズマ・カーボン壁相互作用による微粒子形成過程研究用装置の開発

    徳安 達郎, 上原 龍児, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度応用物理学会九州支部講演会  2001.12 

     More details

    Event date: 2001.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中に発生するSiクラスタの核発生・初期成長に対する放電周波数の効果

    針貝 篤史, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度応用物理学会九州支部講演会  2001.12 

     More details

    Event date: 2001.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランCVDプラズマ中のクラスタ量とa-Si:H薄膜の膜質の関係

    今別府 謙吾, 甲斐 幹英, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度応用物理学会九州支部講演会  2001.12 

     More details

    Event date: 2001.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • H原子アシストプラズマCVD法による銅の異方性成膜

    大西 将夫, 竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度応用物理学会九州支部講演会  2001.12 

     More details

    Event date: 2001.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDDMD)2を用いたプラズマCVD法における銅薄膜の高純度化と発生核の高密度化

    竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 神元 俊哉

    平成13年度応用物理学会九州支部講演会  2001.12 

     More details

    Event date: 2001.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中のクラスタとシリコン系薄膜太陽電池

    白谷 正治, 古閑 一憲, 渡辺 征夫

    第17回九州・山口プラズマ研究会  2001.11 

     More details

    Event date: 2001.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Deposition of pure copper thin films by H-assisted plasma CVD using a new Cu complex Cu(EDMDD)2 International conference

    K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe

    International Symposium on Dry Process  2001.11 

     More details

    Event date: 2001.11

    Language:English   Presentation type:Oral presentation (general)  

  • Electron-, ion-densities in silane high frequency discharges International conference

    Y. Watanabe, A. Hariaki, K. Koga, M. Shiratani

    54th Annual Gaseous Electronics Conference  2001.10 

     More details

    Event date: 2001.10

    Language:English   Presentation type:Oral presentation (general)  

  • 銅プラズマCVDにおけるトレンチ内製膜速度の異方性

    大西 将夫, 金 洪杰, 竹中 弘祐, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度電気関係学会九州支部連合大会  2001.10 

     More details

    Event date: 2001.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 超高品質a-Si:H薄膜作製用プラズマCVD装置のクラスタ計測

    甲斐 幹英, 今別府 謙吾, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度電気関係学会九州支部連合大会  2001.10 

     More details

    Event date: 2001.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シラン高周波放電中のクラスタ成長に対する水素希釈と放電周波数の効果

    針貝 篤史, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度電気関係学会九州支部連合大会  2001.10 

     More details

    Event date: 2001.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDMDD)2を用いた銅プラズマCVD:膜質の全圧と基板温度への依存性

    竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成13年度電気関係学会九州支部連合大会  2001.10 

     More details

    Event date: 2001.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • CuプラズマCVDと次世代配線への応用

    白谷 正治, 竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 渡辺 征夫

    電気学会 電子・情報・システム部門大会  2001.9 

     More details

    Event date: 2001.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • クラスタ抑制プラズマCVD法による超高品質a-Si:H薄膜形成(招待講演)

    渡辺 征夫, 白谷 正治, 古閑 一憲

    第62回応用物理学学術講演会 非晶質:分科内総合講演「アモルファスシリコンの光劣化防止策」  2001.9 

     More details

    Event date: 2001.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDMDD)2を用いたH原子源付プラズマCVD装置による高純度銅薄膜形成

    竹中 弘祐, 金 洪杰, 大西 将夫, 古閑 一憲, 白谷 正治, 渡辺 征夫, 渡邉 剛

    第62回応用物理学学術講演会  2001.9 

     More details

    Event date: 2001.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • H原子源付プラズマCVD装置で堆積したCu薄膜中の不純物濃度分布

    白谷 正治, 金 洪杰, 大西 将夫, 竹中 弘祐, 古閑 一憲, 渡辺 征夫

    第62回応用物理学学術講演会  2001.9 

     More details

    Event date: 2001.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Behavior of a particle injected in ion sheath of electropositive, electronegative gas discharges International conference

    M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe

    International Conference on Phenomena in Ionized Gases  2001.7 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

  • Nucleation, subsequent growth of clusters in reactive plasmas (invited) International conference

    Y. Watanabe, M. Shiratani, K. Koga

    International Conference on Phenomena in Ionized Gases  2001.7 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

  • Clustering phenomena in low-pressure reactive plasmas: base, applications (invited) International conference

    Y. Watanabe, M. Shiratani, K. Koga

    15th International Symposium on Plasma Chemistry  2001.7 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

  • Development of H-assisted plasma CVD reactor for Cu interconnects International conference

    M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe

    International Conference on Phenomena in Ionized Gases  2001.7 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

  • アモルファスシリコン太陽電池の高速・高品質製造技術の開発 (招待講演)

    渡辺 征夫, 白谷 正治, 古閑 一憲

    新エネルギーシンポジウム  2001.6 

     More details

    Event date: 2001.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Electron, ion densities in SiH4 HF discharges International conference

    Y. Watanabe, M. Shiratani, K. Koga

    9th Workshop on the Physics of Dusty Plasmas  2001.5 

     More details

    Event date: 2001.5

    Language:English   Presentation type:Oral presentation (general)  

  • Cluster-less plasma CVD reactor, its application to a-Si:H film deposition International conference

    M. Shiratani, K. Koga, Y. Watanabe

    2001 MRS Spring Meeting  2001.4 

     More details

    Event date: 2001.4

    Language:English   Presentation type:Oral presentation (general)  

  • 太陽電池用超高品質アモルファスSi薄膜の超高速作製のためのクラスタ制御プラズマCVD法の開発 (招待講演)

    渡辺 征夫, 白谷 正治, 古閑 一憲

    第13回太陽光発電連絡会  2001.4 

     More details

    Event date: 2001.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • H-assisted plasma CVD using Cu(hfac)2, Cu(EDMDD)2 International conference

    M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe

    2001 MRS Spring Meeting  2001.4 

     More details

    Event date: 2001.4

    Language:English   Presentation type:Oral presentation (general)  

  • H原子源付プラズマCVD装置による高品質Cu極薄膜の堆積

    白谷 正治, 金 洪杰, 竹中 弘祐, 古閑 一憲, 渡辺 征夫

    第48回応用物理学関係連合講演会  2001.3 

     More details

    Event date: 2001.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDMDD)2を用いたプラズマCVD法による銅薄膜形成

    竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第48回応用物理学関係連合講演会  2001.3 

     More details

    Event date: 2001.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • イオンシースに注入した微粒子の軌跡

    白谷 正治, 古閑 一憲, 豊澤 聡大, 渡辺 征夫

    第48回応用物理学関係連合講演会  2001.3 

     More details

    Event date: 2001.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Behavior of a particle injected in ion sheath International conference

    M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe

    Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing  2001.1 

     More details

    Event date: 2001.1

    Language:English   Presentation type:Oral presentation (general)  

  • Plasma CVD method for Cu interconnects in ULSI (invited) International conference

    M. Shiratani, K. Koga, Y. Watanabe

    Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing  2001.1 

     More details

    Event date: 2001.1

    Language:English   Presentation type:Oral presentation (general)  

  • Measurements of surface reaction probability of SiH3 International conference

    M. Shiratani, N. Shiraishi, K. Koga, Y. Watanabe

    Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing  2001.1 

     More details

    Event date: 2001.1

    Language:English   Presentation type:Oral presentation (general)  

  • Development of cluster-suppressed plasma CVD reactor for high quality a-Si:H film deposition International conference

    M. Shiratani, T. Sonoda, N. Shikatani, K. Koga, Y. Watanabe

    Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing  2001.1 

     More details

    Event date: 2001.1

    Language:English   Presentation type:Oral presentation (general)  

  • 高品質a-Si:H作製のためのクラスタ抑制プラズマCVD装置の開発

    白谷 正治, 園田 剛士, 古閑 一憲, 渡辺 征夫, 鹿谷 昇

    平成12年度応用物理学会九州支部講演会  2000.12 

     More details

    Event date: 2000.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 水素希釈シラン高周波放電中の電子密度のシラン分圧依存性

    田中 健一, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成12年度応用物理学会九州支部講演会  2000.12 

     More details

    Event date: 2000.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Cu(EDDMD)2を用いたプラズマCVD法による銅薄膜堆積

    竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成12年度応用物理学会九州支部講演会  2000.12 

     More details

    Event date: 2000.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • LSI内微細銅配線形成のためのプラズマCVD法の開発

    白谷 正治, 古閑 一憲, 渡辺 征夫

    第16回九州・山口プラズマ研究会  2000.11 

     More details

    Event date: 2000.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中のクラスタ計測と高品質a-Si:H薄膜の高速作製法の開発

    渡辺 征夫, 古閑 一憲, 白谷 正治

    第16回九州・山口プラズマ研究会  2000.11 

     More details

    Event date: 2000.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • H assisted control of quality, conformality in Cu film deposition using plasma CVD method International conference

    M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, Y. Watanabe

    Advanced Metallization Conference 2000  2000.10 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

  • Effects of H irradiation on properties of Cu films deposited by plasma CVD International conference

    Y. Watanabe, K. Koga, H. J. Jin, Y. Nakatake, T. Kinoshita, M. Shiratani

    53rd Annual Gaseous Electronics Conference  2000.10 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

  • D2+SiH4高周波放電で作製した微粒子とa-Si:H膜の組成

    白谷 正治, 園田 剛士, 鹿谷 昇, 古閑 一憲, 渡辺 征夫

    第61回応用物理学学術講演会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 新銅錯体材料Cu(EDMDD) 2を用いたプラズマCVDによる銅薄膜作製

    竹中 弘祐, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成12年度電気関係学会九州支部連合大会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマイオンシース中の微粒子挙動の観察

    豊澤 聡大, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成12年度電気関係学会九州支部連合大会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマCVD法により微細トレンチに形成したCu薄膜の段差被覆性

    金 洪杰, 竹中 弘祐, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第61回応用物理学学術講演会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • トレンチへの段差被覆性に与えるSiH4トライオードrf放電の接地電極サイズの影響

    白石 信仁, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第61回応用物理学学術講演会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中のクラスタ成長に及ぼす励起周波数・水素希釈の影響

    田中 健一, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成12年度電気関係学会九州支部連合大会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • D2+SiH4高周波放電により作製した微粒子と水素化アモルファスシリコン膜の組成

    園田 剛士, 鹿谷 昇, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成12年度電気関係学会九州支部連合大会  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 銅の高アスペクト比パターン埋め込みのためのラジカル表面反応制御(招待講演)

    白谷 正治, 古閑 一憲, 渡辺 征夫

    第61回応用物理学学術講演会シンポジウム「Feature Profile Evolutionのためのプラズマ物理化学」  2000.9 

     More details

    Event date: 2000.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Formation kinetics, control of dust particles in capacitively-coupled reactive plasmas (invited) International conference

    Y. Watanabe, M. Shiratani, K. Koga

    International Topical Conference on Plasma Physics: Colloidal Plasma Science  2000.5 

     More details

    Event date: 2000.5

    Language:English   Presentation type:Oral presentation (general)  

  • Recent progress in study on cluster growth kinetics in silane rf plasmas (invited) International conference

    Y. Watanabe, M. Shiratani, K. Koga

    4th European Workshop on Dusty, Colloidal Plasma  2000.5 

     More details

    Event date: 2000.5

    Language:English   Presentation type:Oral presentation (general)  

  • Methods of suppressing cluster growth in silane rf discharges International conference

    M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe

    2000 MRS Spring Meeting  2000.4 

     More details

    Event date: 2000.4

    Language:English   Presentation type:Oral presentation (general)  

  • Initial growth kinetics of clusters in processing plasmas International conference

    Y. Watanabe, M. Shiratani, K. Koga

    8th Workshop on the Physics of Dusty Plasma  2000.4 

     More details

    Event date: 2000.4

    Language:English   Presentation type:Oral presentation (general)  

  • Thin smooth Cu films deposited in deep submicron trench by plasma CVD reactor with H atom source International conference

    M. Shiratani, H. J. Jin, Y. Nakatake, K. Koga, Y. Watanabe

    2000 MRS Spring Meeting  2000.4 

     More details

    Event date: 2000.4

    Language:English   Presentation type:Oral presentation (general)  

  • シラン高周波放電中ナノ微粒子の成長過程:基板の効果

    白谷 正治, 前田 真一, 古閑 一憲, 渡辺 征夫

    第47回応用物理学関係連合講演会  2000.3 

     More details

    Event date: 2000.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマCVD法により形成したCu薄膜の結晶サイズに及ぼすH原子照射の効果

    金 洪杰, 中武 靖裕, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第47回応用物理学関係連合講演会  2000.3 

     More details

    Event date: 2000.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • パルス及び定常高周波トライオードSiH4 放電中のラジカルの表面反応確率

    白石 信仁, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第47回応用物理学関係連合講演会  2000.3 

     More details

    Event date: 2000.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Control of surface reactions for conformal deposition of Cu in fine trench structure (invited) International conference

    M. Shiratani, H. J. Jin, Y. Nakatake, K. Koga, Y. Watanabe

    'International Workshop on Basic Aspects of Non-equilibrium Plasmas Interacting with Surfaces  2000.1 

     More details

    Event date: 2000.1

    Language:English   Presentation type:Oral presentation (general)  

  • シラン高周波放電中ナノ微粒子成長に与える基板の影響

    白谷 正治, 古閑 一憲, 前田 真一, 渡辺 征夫

    第17回プラズマプロセシング研究会  2000.1 

     More details

    Event date: 2000.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Surface reaction probability of radicals in CW, pulsed RF triode SiH4 discharges International conference

    M. Shiratani, N. Shiraishi, K. Koga, Y. Watanabe

    International Workshop on Basic Aspects of Non-equilibrium Plasmas Interacting with Surfaces  2000.1 

     More details

    Event date: 2000.1

    Language:English   Presentation type:Oral presentation (general)  

  • H2希釈SiH4高周波放電中のH密度測定

    園田 剛士, 中村 幸作, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度応用物理学会九州支部講演会  1999.12 

     More details

    Event date: 1999.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 直流放電イオンシース中の微粒子挙動の観察

    波多江 哲, 豊澤 聡大, 古閑 一憲, 福澤 剛, 白谷 正治, 渡辺 征夫

    平成11年度応用物理学会九州支部講演会  1999.12 

     More details

    Event date: 1999.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマCVD法によるトレンチへの銅への埋め込み特性

    金 洪杰, 中武 靖裕, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度応用物理学会九州支部講演会  1999.12 

     More details

    Event date: 1999.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • SiH4高周波放電によるa-Si:H成膜と微粒子量との関係

    前田 真一, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度応用物理学会九州支部講演会  1999.12 

     More details

    Event date: 1999.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シランプラズマ中のクラスターとa-Si:H成膜

    渡辺 征夫, 古閑 一憲, 白谷 正治

    第15回九州・山口プラズマ研究会  1999.11 

     More details

    Event date: 1999.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • A-Si:H Film Deposition Using Plasma CVD with Suppression of Cluster-Size Particles International conference

    M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe

    1999 American Vacuum Society International Symposium  1999.10 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

  • 発光分光法によるH2+SiH4高周波放電中のH密度の測定

    中村 幸作, 園田 剛士, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度電気関係学会九州支部連合大会  1999.10 

     More details

    Event date: 1999.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • 水素希釈および電極加熱によるシラン高周波放電中微小微粒子の抑制効果

    田中 健一, 松岡 泰弘, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度電気関係学会九州支部連合大会  1999.10 

     More details

    Event date: 1999.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • イオンシース中の微粒子挙動の観察

    豊澤 聡大, 波多江 哲, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度電気関係学会九州支部連合大会  1999.10 

     More details

    Event date: 1999.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • SiH4高周波トライオード放電中のSiH3密度空間分布とSiH3の表面反応確率

    白石 信仁, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度電気関係学会九州支部連合大会  1999.10 

     More details

    Event date: 1999.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • H原子原付プラズマCVD装置を用いた微細トレンチへの銅の埋め込み

    中武 靖裕, 金 洪杰, 古閑 一憲, 白谷 正治, 渡辺 征夫

    平成11年度電気関係学会九州支部連合大会  1999.10 

     More details

    Event date: 1999.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Growth Suppression of Cluster-Size Particles in Silane RF Discharges International conference

    Y. Watanabe, M. Shiratani, K. Koga, S. Maeda, Y. Matsuoka, K. Tanaka

    52nd Annual Gaseous Electronics Conference  1999.10 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

  • Complete Filling of High-Purity Copper in Sub-quater-Micron Trench Structure Using Plasma CVD Reactor with H Atom Source International conference

    H. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Kinoshita, Y. Watanabe

    1999 American Vacuum Society International Symposium  1999.10 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

  • Behabior of a Particle in Plasma-Wall Sheath Region International conference

    Y. Watanabe, M. Shiratani, K. Koga, A. Hatae, A. Toyozawa

    52nd Annual Gaseous Electronics Conference  1999.10 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

  • H2+SiH4高周波放電中のH密度

    白谷 正治, 中村 幸作, 園田 剛士, 古閑 一憲, 渡辺 征夫

    第60回応用物理学学術講演会  1999.9 

     More details

    Event date: 1999.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • プラズマCVD法による高品質銅の微細トレンチ埋め込み

    金 洪杰, 中武 靖裕, 古閑 一憲, 白谷 正治, 渡辺 征夫

    第60回応用物理学学術講演会  1999.9 

     More details

    Event date: 1999.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • シラン高周波放電中微粒子成長抑制条件下でのa-Si:H膜堆積

    白谷 正治, 前田 真一, 古閑 一憲, 渡辺 征夫

    第60回応用物理学学術講演会  1999.9 

     More details

    Event date: 1999.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  • Growth Processes of Particles up to Nanometer in High-Frequency SiH4, GeH4 RF Plasmas (invited) International conference

    Y. Watanabe, M. Shiratani, T. Fukuzawa, K. Koga

    24th International Conference on Phenomena in Ionized Gases  1999.7 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

  • Recent Advance in Understanding Formation of Particles in SiH4 RF Discharges (invited) International conference

    M. Shiratani, K. Koga, Y. Watanabe

    12th Symposium on Plasma Science, Materials  1999.6 

     More details

    Event date: 1999.6

    Language:English   Presentation type:Oral presentation (general)  

  • Void Free Filling of High-Purity Copper in Subquater-Micron Trench Structure Using Plasma Reactor with H Atom Source International conference

    H. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Fukuzawa, T. Kinoshita, Y. Watanabe, M. Toyofuku

    12th Symposium on Plasma Science, Materials  1999.6 

     More details

    Event date: 1999.6

    Language:English   Presentation type:Oral presentation (general)  

  • 粒子径の異なるインジウム・スズ酸化物ナノ粒子の経気道性曝露による生体影響評価

    田中 昭代, 松村 渚, 柴田 悦子, 田中 佑樹, 小椋 康光, 古閑 一憲, 白谷 正治, 長野 嘉介

    産業衛生学雑誌  2022.5  (公社)日本産業衛生学会

     More details

    Language:Japanese  

  • インジウム・スズ酸化物ナノ粒子の皮下投与による亜慢性影響

    松村 渚, 田中 佑樹, 小椋 康光, 古閑 一憲, 白谷 正治, 長野 嘉介, 田中 昭代

    Biomedical Research on Trace Elements  2022.9  (一社)日本微量元素学会

     More details

    Language:Japanese  

  • インジウム・スズ酸化物ナノ粒子の皮下および気管内投与による生体影響の比較

    田中 昭代, 松村 渚, 田中 佑樹, 小椋 康光, 古閑 一憲, 白谷 正治, 長野 嘉介

    日本衛生学雑誌  2022.3  (一社)日本衛生学会

     More details

    Language:Japanese  

  • インジウム・スズ酸化物ナノ粒子の体内動態に及ぼすサイズ効果

    田中 昭代, 松村 渚, 田中 佑樹, 小椋 康光, 古閑 一憲, 白谷 正治, 長野 嘉介

    大気環境学会年会講演要旨集  2022.9  (公社)大気環境学会

     More details

    Language:Japanese  

▼display all

MISC

  • Control of selectivity in hydrogenation of CO<sub>2</sub> using plasma

    都甲将, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)   84th   2023   ISSN:2758-4704

  • The role of vibrationally and rotationally excited CO molecule in CO<sub>2</sub> methanation with plasma catalysis

    都甲将, 出口雅志, 長谷川大樹, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   69th   2022   ISSN:2436-7613

  • Zero-dimensional simulation for CO<sub>2</sub> methanation with plasma catalysis

    都甲将, 長谷川大樹, 奥村賢直, 鎌滝晋礼, 竹中弘祐, 古閑一憲, 白谷正治, 節原裕一

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)   83rd   2022   ISSN:2758-4704

  • VHFプラズマCVDによる結晶シリコンナノ粒子の生成と膜への取り込み

    白谷正治,古閑一憲,渡辺征夫

    第30回アモルファス物質の物性と応用セミナーテキスト   2003.12

     More details

    Language:Japanese   Publishing type:Article, review, commentary, editorial, etc. (scientific journal)  

  • 高効率薄膜シリコン太陽電池のためのクラスタ制御プラズマCVD

    白谷正治,古閑一憲,渡辺征夫

    表面技術   2003.12

     More details

    Language:Japanese   Publishing type:Article, review, commentary, editorial, etc. (scientific journal)  

  • 大気圧・液中プラズマの基礎と先端技術への応用

    白谷正治

    応用物理   2003.6

     More details

    Language:Japanese  

  • 平成14年度新エネルギー・産業技術総合開発機構受託研究成果報告書

    渡辺征夫,白谷正治,古閑一憲

    2003.3

     More details

    Language:Japanese   Publishing type:Internal/External technical report, pre-print, etc.  

  • プラズマを用いたナノ構造作製と制御

    白谷正治

    応用物理   2003.1

     More details

    Language:Japanese  

  • 平成13年度新エネルギー・産業技術総合開発機構受託研究成果報告書

    渡辺征夫,白谷正治,古閑一憲

    2002.3

     More details

    Language:Japanese   Publishing type:Internal/External technical report, pre-print, etc.  

▼display all

Works

  • LSI用低誘電率層間絶縁膜の作製技術の開発

    2002

     More details

  • Development of Nano-structure controlled Si solar cell

    2001

     More details

  • 水素プラズマ壁相互作用によるカーボンダストの発生機構の研究

    2001

     More details

  • ナノ構造制御シリコン太陽電池の開発

    2001

     More details

  • Study on Cu interconnects in LSI

    2000

     More details

  • 集積回路内銅配線形成に関する研究

    2000

     More details

▼display all

Industrial property rights

Patent   Number of applications: 16   Number of registrations: 8
Utility model   Number of applications: 0   Number of registrations: 0
Design   Number of applications: 0   Number of registrations: 0
Trademark   Number of applications: 0   Number of registrations: 0

Professional Memberships

▼display all

Committee Memberships

  • 日本表面真空学会   Executive   Domestic

    2022.6 - 2024.6   

  • International Conference on Phenomena in Ionized Gases   International Organizing Committee Chair   Foreign country

    2019.7 - 2023.6   

  • 日本MRS   Chairman   Domestic

    2019.6 - 2021.5   

  • 日本MRS   Executive   Domestic

    2017.6 - 2019.6   

  • Association of Asia Pacific Physical Societies, Division of Plasma Physics   Vice-chairman   Foreign country

    2017.4 - 2021.3   

  • 日本表面科学会   九州支部 平成29年度支部監査   Domestic

    2017.4 - 2018.3   

  • 日本学術振興会   科学研究費専門委員   Domestic

    2016.12 - 2017.11   

  • プラズマ・核融合学会   Vice-chairman   Domestic

    2016.6 - 2018.6   

  • プラズマ・核融合学会   副会長・理事   Domestic

    2016.6 - 2018.6   

  • IUMRS-ICA   セッションオーガナイザー   Foreign country

    2016.4 - 2018.3   

  • 応用物理学会   九州支部監事   Domestic

    2016.4 - 2018.3   

  • プラズマ材料科学   賞選考委員長   Domestic

    2016.4 - 2018.3   

  • 日本MRS   講演奨励賞選考委員長   Domestic

    2016.4 - 2018.3   

  • 応用物理学会   九州支部貢献賞選考委員長   Domestic

    2016.4 - 2018.3   

  • 応用物理学会   プラズマエレクトロニクス分科会 諮問委員   Domestic

    2016.4 - 2018.3   

  • プラズマ・核融合学会   九州・山口支部監事   Domestic

    2016.4 - 2018.3   

  • Asian-European International Conference on Plasma Surface Engineering Asian Joint Committee   Vice Chair   Foreign country

    2016.4 - 2018.3   

  • 日本MRS   セッションオーガナイザー   Domestic

    2016.4 - 2018.3   

  • 応用物理学会   業績賞選考委員   Domestic

    2016.4 - 2017.3   

  • International Scientific Committee of ICPIG   委員会委員   Foreign country

    2015.8 - 2022.7   

  • International Scientific Committee of ICPIG   委員会委員  

    2015.8 - 2022.7   

      More details

  • 大阪大学接合科学研究所   外部評価委員   Domestic

    2015.6 - 2015.11   

  • 熊本大学外部評価委員会   情報電気電子工学専攻テニュア外部評価委員   Domestic

    2015.6 - 2015.7   

  • ISO/TC107   WG member   Foreign country

    2015.5 - 2016.6   

  • 応用物理学会   九州支部貢献賞委員会委員長   Domestic

    2014.6 - 2017.3   

  • 応用物理学会   九州支部貢献賞委員会委員長   Domestic

    2014.6 - 2015.3   

  • 九州・山口プラズマ研究会   代表   Domestic

    2014.4 - 2018.3   

  • 応用物理学会   Executive   Domestic

    2014.4 - 2016.3   

  • 応用物理学会   九州支部長   Domestic

    2014.4 - 2016.3   

  • Association of Asia Pacific Physical Societies-division of plasma physics(AAPPS-DPP)   Vice Chair   Foreign country

    2014.3 - 2016.6   

  • オーストラリア   科学研究費評価委員   Foreign country

    2013.12 - 2016.5   

  • Netherlands Organisation for Scientific Research (NWO)   オランダ科学研究費評価委員   Foreign country

    2013.12 - 2014.5   

  • Plasma Physics under Asia Pacific Physical Societies   The Vice Chair of Division   Foreign country

    2013.1 - 2023.5   

  • Plasma Physics under Asia Pacific Physical Societies   The Vice Chair of Division   Foreign country

    2013.1 - 2018.5   

  • プラズマ・核融合学会   Executive   Domestic

    2012.6 - 2016.6   

  • プラズマ・核融合学会   九州支部長   Domestic

    2012.6 - 2016.6   

  • American Physical Society, Division of Plasma Physics, Program Committee   委員   Foreign country

    2012.5 - 2015.5   

  • Gaseous Electronics Conference Executive Committee   委員   Domestic

    2012.5 - 2012.11   

  • 日本学術振興会第153委員会   副委員長・学界委員・運営委員   Domestic

    2012.4 - 2018.3   

  • 西日本放電懇談会   Chairman   Domestic

    2012.4 - 2015.3   

  • 西日本放電懇談会   Chairman   Domestic

    2012.4 - 2015.3   

  • 西日本放電懇談会   会長   Domestic

    2012.4 - 2015.3   

  • 西日本放電懇談会   会長   Domestic

    2012.4 - 2015.3   

  • 日本学術振興会第153委員会   学界委員   Domestic

    2012.4 - 2015.3   

  • 応用物理学会   九州支部副支部長   Domestic

    2012.4 - 2014.3   

  • 文部科学省   科学研究費補助金審査委員   Domestic

    2011.12 - 2012.11   

  • American Physical Society   Gaseous Electronics Conference Executive Committee   Foreign country

    2011.10 - 2013.10   

  • National Research Foundation Grant Proposal   Singaporeの研究費審査委員   Foreign country

    2011.6 - 2012.5   

  • 大阪大学接合科学研究所   外部評価委員   Domestic

    2011.6 - 2011.11   

  • 応用物理学会プラズマエレクトロニクス分科会   諮問委員   Domestic

    2011.5 - 2014.3   

  • マス・フォア・インダストリ研究所   サイエンス・アドバイザリー   Domestic

    2011.5 - 2013.3   

  • 応用物理学会   プラズマエレクトロニクス分科会諮問委員   Domestic

    2011.4 - 2022.3   

  • 応用物理学会   プラズマエレクトロニクス分科会諮問委員  

    2011.4 - 2022.3   

      More details

  • 応用物理学会   プラズマエレクトロニクス分科会諮問委員   Domestic

    2011.4 - 2015.3   

  • 応用物理学会   論文賞委員会委員   Domestic

    2011.4 - 2013.3   

  • Plasma Conference 2011 (略称: PLASMA2011)/プラズマ・核融合学会第28回年会/応用物理学会第29回プラズマプロセシング研究会/日本物理学会(領域2)2011年秋季大会   組織委員, プログラム委員長   Foreign country

    2011.3 - 2011.11   

  • Australian Research Council Assessor(オーストラリア学術会議評価者)   評価者   Foreign country

    2010.12 - 2012.3   

  • 応用物理学会   Councilor   Domestic

    2010.4 - 2014.3   

  • 応用物理学会   プラズマエレクトロニクス賞審査委員   Domestic

    2009.12 - 2011.3   

  • 文部科学省   科学研究費補助金審査委員   Domestic

    2009.12 - 2010.11   

  • Times Higher Education World University Rankings   評価者   Foreign country

    2009.12 - 2010.11   

  • AVS Plasma Science and Technology Division   Executive Committee   Foreign country

    2009.10 - 2011.11   

  • American Vacuum Society PSTD   Executive Committee   Foreign country

    2009.5 - 2011.11   

  • プラズマ関連学協会連合組織   運営委員   Foreign country

    2009.1 - 2011.3   

  • 応用物理学会   プラズマエレクトロニクス賞審査副委員長   Domestic

    2008.12 - 2009.3   

  • 微粒子プラズマ研究会   Organizer   Domestic

    2008.4 - 2018.3   

  • プラズマ核融合学会   九州支部理事   Domestic

    2008.4 - 2012.3   

  • 応用物理学会   九州支部理事   Domestic

    2008.4 - 2011.3   

  • 応用物理学会   プラズマエレクトロニクス分科会幹事長   Domestic

    2008.3 - 2010.2   

  • QS World University Rankings 2011   評価者   Foreign country

    2008.1 - 2012.3   

  • 核融合科学研究所   共同研究委員会委員   Domestic

    2007.12 - 2009.11   

  • 太陽光発電技術研究組合(PVTEC)   薄膜シリコン先導研究技術分科会委員   Domestic

    2007.12 - 2008.11   

  • 科学技術動向研究センター   専門調査委員   Domestic

    2007.4 - 2016.3   

  • 核融合科学研究所   共同研究員   Domestic

    2006.4 - 2016.3   

  • 物質・材料研究機構物質研究所   リサーチアドバイザー   Domestic

    2006.4 - 2012.3   

  • 大阪大学接合科学研究所   共同研究員   Domestic

    2005.4 - 2016.3   

  • 応用物理学会   リフレッシュ理科教室実行委員   Domestic

    2005.4 - 2012.3   

  • プラズマ・核融合学会   広報委員   Domestic

    2004.7 - 2012.3   

  • 応用物理学会   Organizer   Domestic

    2004.4 - 2012.3   

  • 応用物理学会   庶務幹事   Domestic

    2004.4 - 2012.3   

  • 応用物理学会   代議員   Domestic

    2003.4 - 2004.3   

  • 応用物理学会   プラズマエレクトロニクス分科会副幹事長   Domestic

    2002.4 - 2004.3   

  • 微粒子プラズマ研究会   世話役   Domestic

    2001.12 - 2012.3   

  • 応用物理学会   講演会世話人   Domestic

    2001.1 - 2012.3   

  • ドライプロセス国際シンポジウム   論文委員   Foreign country

    2001.1 - 2006.3   

  • 応用物理学会   編集委員会委員   Domestic

    2000.4 - 2002.3   

  • 応用物理学会   プラズマエレクトロニクス分科会幹事   Domestic

    2000.4 - 2002.3   

  • 電気学会   編集専門第3部会委員   Domestic

    2000.1 - 2002.3   

  • 電気学会   編集専門第2部会委員   Domestic

    2000.1 - 2002.3   

  • ドライプロセス国際シンポジウム   論文委員  

    2000   

      More details

    Committee type:Academic society

    ドライプロセス国際シンポジウム

    researchmap

  • 電気学会   活動推進委員   Domestic

    1999.1 - 2002.3   

  • 電気学会   九州支部総務幹事   Domestic

    1999.1 - 2002.3   

  • 電気学会   ホームページ運用委員会委員   Domestic

    1999.1 - 2001.3   

  • 応用物理学会   第17回プラズマプロセシング研究会現地実行委員   Domestic

    1999.1 - 1999.12   

  • フロンティアプロセス研究会   Organizer   Domestic

    1998.4 - 2012.3   

  • 微粒子プラズマ研究会   Organizer   Domestic

    1998.4 - 2012.3   

  • 微粒子プラズマ研究会   代表幹事   Domestic

    1998.4 - 2012.3   

  • 応用物理学会   プラズマエレクトロニクス分科会サマースクール企画担当幹事   Domestic

    1993.4 - 1994.3   

  • 応用物理学会   プラズマエレクトロニクス分科会幹事   Domestic

    1992.4 - 1994.3   

  • 応用物理学会   第9回プラズマプロセシング研究会現地実行委員   Domestic

    1992.4 - 1992.12   

▼display all

Academic Activities

  • 座長(Chairmanship) International contribution

    1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017)  ( Jinniu Hotel, Chengdu China ) 2017.9

     More details

    Type:Competition, symposium, etc. 

  • Organizing committee member International contribution

    1st global plasma life fair  ( Korea ) 2017.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • International Program Committee International contribution

    AEPSE 2017  ( Korea ) 2017.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • 現地実行委員

    応用物理学会秋季学術講演会  ( Japan ) 2017.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:7,000

  • 座長(Chairmanship) International contribution

    International Conference on Phenomena in Ionized Gases (ICPIG 2017)  ( Lisbon Portugal ) 2017.7

     More details

    Type:Competition, symposium, etc. 

  • 組織委員・編集委員 International contribution

    ISPlasma2017  ( Japan ) 2017.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • 座長(Chairmanship)

    第34回プラズマプロセシング研究会(SPP34)/ 第29回プラズマ材料科学シンポジウム(SPSM29)  ( Japan ) 2017.1

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship)

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  ( Japan ) 2016.12

     More details

    Type:Competition, symposium, etc. 

  • プログラム委員・出版委員 International contribution

    38th International Dry Process Symposium(DPS2016)  ( Japan ) 2016.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 組織委員

    第7回薄膜太陽電池セミナー  ( Japan ) 2016.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 座長(Chairmanship) International contribution

    The First International Conference on Hybridized Agriculture  ( Sojo University Japan ) 2016.10

     More details

    Type:Competition, symposium, etc. 

  • nternational Advisory Committee Member International contribution

    First International Conference on Hybridized Agriculture  ( Japan ) 2016.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • 座長(Chairmanship) International contribution

    6th International Conference on Plasma Medicine (ICPM6)  ( Bratislava SlovakRepublic ) 2016.9

     More details

    Type:Competition, symposium, etc. 

  • 組織委員 International contribution

    IEEE Nano 2016  ( Japan ) 2016.8

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 座長(Chairmanship)

    第63回応用物理学会春季学術講演会  ( Japan ) 2016.3

     More details

    Type:Competition, symposium, etc. 

  • 組織委員 International contribution

    ISPlasma2016/IC-PLANTS2016  ( Japan ) 2016.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:700

  • 現地実行委員 International contribution

    9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-9) and 28th Symposium on Plasma Science for Materials (SPSM-28)  ( Japan ) 2015.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • プログラム委員 International contribution

    37th International Symposium on Dry Process(DPS 2015)  ( Japan ) 2015.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • プログラム委員長 International contribution

    (AEPSE 2015)Asian-European International Conference on Plasma Surface Engineering  ( Jeju Korea ) 2015.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 座長(Chairmanship)

    第76回応用物理学会秋季学術講演会  ( Japan ) 2015.9

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship) International contribution

    2015 International Forum on Functional Materials (IFFM2015)  ( Ramada Plaza Jeju Hotel Korea ) 2015.6

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship) International contribution

    The International Conference on Metallurgical Coatings and Thin Films (ICMCTF2015)  ( Town & Country Resort Hotel, San Diego, CA UnitedStatesofAmerica ) 2015.4

     More details

    Type:Competition, symposium, etc. 

  • Session orgnizer

    ICMCTF2015  ( UnitedStatesofAmerica ) 2015.4

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 組織委員・プログラム委員・編集委員 International contribution

    ISPlasma2015/IC-PLANTS2015  ( Japan ) 2015.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:700

  • Session orgnizer International contribution

    2014MRS-J  ( Japan ) 2014.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • 座長(Chairmanship) International contribution

    2014 MRS Fall Meeting  ( Hynes Convention Center, Boston UnitedStatesofAmerica ) 2014.11 - 2014.12

     More details

    Type:Competition, symposium, etc. 

  • Leading Orgnizer International contribution

    2014 MRS-Fall Meeting  ( UnitedStatesofAmerica ) 2014.11 - 2014.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:1,000

  • プログラム委員・出版委員 International contribution

    36th International Symposium on Dry Process (DPS2014)  ( Japan ) 2014.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 座長(Chairmanship) International contribution

    67th Annual Gaseous Electronics Conference  ( Raleigh Convention Center, North Carolina UnitedStatesofAmerica ) 2014.11

     More details

    Type:Competition, symposium, etc. 

  • Session orgnizer・シンポジウム現地実行委員 International contribution

    IUMRS-ICA2014  ( Japan ) 2014.8

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 座長(Chairmanship) International contribution

    5th International Conference on Plasma Medicine (ICPM5)  ( Nara Prefectural New Public Hall Japan ) 2014.5

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship)

    2014年 第61回応用物理学会春季学術講演会  ( Japan ) 2014.3

     More details

    Type:Competition, symposium, etc. 

  • 組織委員・プログラム委員・編集委員 International contribution

    ISPlasma2014  ( Japan ) 2014.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:600

  • 組織委員長 International contribution

    8th International Conference on Reactive Plasmas(ICRP-8)  ( Japan ) 2014.2

     More details

    Type:Competition, symposium, etc. 

    Number of participants:1,000

  • 座長(Chairmanship)

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  ( Japan ) 2013.12

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship) International contribution

    23rd Annual Meeting of MRS-JAPAN 2013  ( Japan ) 2013.12

     More details

    Type:Competition, symposium, etc. 

  • Session orgnizer International contribution

    2013MRS-J  ( Japan ) 2013.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • Orgnizer International contribution

    14th Workshop on Fine Particle Plasma  ( Japan ) 2013.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:50

  • 運営委員長 International contribution

    第26回プラズマ材料科学シンポジウム(SPSM26)  ( Japan ) 2013.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • プログラム委員 International contribution

    35th International Symposium on Dry Process(DPS2013)  ( Korea ) 2013.8

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • Program Committee International contribution

    The 12th Asia-Pacific Physics Conference(APPC12)  ( Japan ) 2013.7

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 副組織委員長 International contribution

    IC-PLANTS2013  ( Japan ) 2013.2

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • 組織委員・プログラム委員・編集委員 International contribution

    ISPlasma2013  ( Japan ) 2013.1 - 2013.2

     More details

    Type:Competition, symposium, etc. 

    Number of participants:600

  • 実行委員長

    プラズマ・核融合学会第29回年会  ( Japan ) 2012.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 座長(Chairmanship) International contribution

    The 34th International Symposium on Dry Process  ( Japan ) 2012.11

     More details

    Type:Competition, symposium, etc. 

  • プログラム委員・出版委員 International contribution

    34th International Symposium on Dry Process(DPS2012)  ( Japan ) 2012.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 座長(Chairmanship) International contribution

    65th Annual Gaseous Electronics Conference  ( The AT&T Conference Center UnitedStatesofAmerica ) 2012.10

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship) International contribution

    Asia-Pacific Conference on Plasma Science and Technology  ( Japan ) 2012.10

     More details

    Type:Competition, symposium, etc. 

  • Program Committee International contribution

    The 11th Asia Pacific Conference on Plasma Science and Technology(APCPST)  ( Japan ) 2012.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 座長(Chairmanship) International contribution

    IUMRS‐ICEM 2012  ( PACIFICO YOKOHAMA Japan ) 2012.9

     More details

    Type:Competition, symposium, etc. 

  • 組織委員 International contribution

    IUMRS-ICEM2012  ( Japan ) 2012.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:1,000

  • 座長(Chairmanship) International contribution

    The 2nd International Symposium for Plasma Biosciences-2012  ( Kwangwoon University Korea ) 2012.8

     More details

    Type:Competition, symposium, etc. 

  • Session WW orgnizer International contribution

    2012MRS-Spring Meeting Session WW orgnizer  ( UnitedStatesofAmerica ) 2012.4

     More details

    Type:Competition, symposium, etc. 

    Number of participants:1,000

  • 共同組織委員長 International contribution

    (IC-PLANTS 2012)The 5th International Conference on Plasma-Nanotechnology & Science  ( Freude, Inuyama International Sightseeing Center, Nagoya Japan ) 2012.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:160

  • 委員(組織委員会、プログラム委員会) International contribution

    ISPlasma2012(4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials)  ( Japan ) 2012.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:600

  • 司会(Moderator) International contribution

    第8回日欧プラズマプロセス共同シンポジウム  ( Japan ) 2012.1

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship)

    第21回日本MRS学術シンポジウム  ( Japan ) 2011.12

     More details

    Type:Competition, symposium, etc. 

  • 座長(Chairmanship)

    プラズマ・核融合学会 第15回九州・沖縄・山口支部大会  ( Japan ) 2011.12

     More details

    Type:Competition, symposium, etc. 

  • 組織委員, プログラム委員長

    Plasma Conference 2011 (PLASMA2011)  ( Japan ) 2011.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 座長(Chairmanship) International contribution

    64th Gaseous Electronics Conference  ( Salt Palace Convention Center - Salt Lake City, Utah UnitedStatesofAmerica ) 2011.11

     More details

    Type:Competition, symposium, etc. 

  • プログラム委員、出版委員 International contribution

    (DPS2011)33rd International Symposium on Dry Process  ( Kyoto garden palace Japan ) 2011.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 座長(Chairmanship) International contribution

    (ICTF-15)第15回薄膜国際会議  ( Kyoto TERRSA, Kyoto Japan ) 2011.11

     More details

    Type:Competition, symposium, etc. 

  • 組織委員

    第3回薄膜太陽電池セミナー  ( Japan ) 2011.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:150

  • 組織委員 International contribution

    (AEPSE 2011)Asian-European International Conference on Plasma Surface Engineering  ( Dalian city China ) 2011.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 運営委員会委員

    (SPSM-24)第24回プラズマ材料科学シンポジウム  ( Japan ) 2011.7

     More details

    Type:Competition, symposium, etc. 

    Number of participants:50

  • International Organizing Committee International contribution

    (ICMAP-2011)The 3rd International Conference on Microelectronics and Plasma Technology  ( Dalian China ) 2011.7

     More details

    Type:Competition, symposium, etc. 

  • 日本学術振興会プラズマ材料科学第153委員会 学界委員

    Role(s): Review, evaluation

    日本学術振興会  2011.4 - 2012.3

     More details

    Type:Scientific advice/Review 

  • 共同組織委員長 International contribution

    (IC-PLANTS 2011)The 4th International Conference on Plasma-Nanotechnology & Science  ( Takayama Public Cultural Hall, Gifu Japan ) 2011.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:160

  • 委員(組織委員会、プログラム委員会、編集委員会) International contribution

    ISPlasma2011(3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials)  ( Japan ) 2011.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:600

  • 座長(Chairmanship)

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  ( Japan ) 2010.12

     More details

    Type:Competition, symposium, etc. 

  • Contact Chairperson(sessionA) International contribution

    20th MRS-Japan Academic Symposium  ( Yokohama Port Opening Plaza, Yokohama Japan ) 2010.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:900

  • 実行委員 International contribution

    IEEE TENCON2010  ( Japan ) 2010.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:300

  • 組織委員、出版委員 International contribution

    (DPS2010)32rd International Symposium on Dry Process  ( Japan ) 2010.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 座長(Chairmanship)

    第26 回 九州・山口プラズマ研究会  ( Japan ) 2010.11

     More details

    Type:Competition, symposium, etc. 

  • Executive Committee International contribution

    AVS 57th International Symposium & Exhibition  ( Albuquerque Convention Center, Albuquerque, USA UnitedStatesofAmerica ) 2010.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:1,800

  • General Chair Person International contribution

    (IWTFSSC-3)Third International Workshop on Thin Film Silicon Solar Cells  ( ANA Hotel NAGASAKI GLOVERHILL Japan ) 2010.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:80

  • 出版委員 International contribution

    (ICRP)63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas  ( Paris France ) 2010.10

     More details

    Type:Competition, symposium, etc. 

    Number of participants:800

  • 現地実行委員

    2010応用物理学会秋季講演会  ( Japan ) 2010.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:2,000

  • プログラム委員 International contribution

    ISPlasma2010  ( Japan ) 2010.3

     More details

    Type:Competition, symposium, etc. 

    Number of participants:600

  • Organizing Chairperson International contribution

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics  ( Nagasaki University Japan ) 2010.1

     More details

    Type:Competition, symposium, etc. 

    Number of participants:50

  • Contact Chairperson International contribution

    2009 MRS-J Symposium  ( Yokohama Port Opening Plaza, Yokohama Japan ) 2009.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 実行委員長・出版副委員長 International contribution

    International Dry Process Symposium 2009  ( Korea ) 2009.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 文部科学省 科学技術政策研究所 科学技術動向研究センター/ 科学技術専門調査員

    Role(s): Review, evaluation

    文部科学省  2009.4 - 2011.3

     More details

    Type:Scientific advice/Review 

  • 組織委員 International contribution

    AFI/TFI2008  ( Japan ) 2008.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • セッションチェア International contribution

    IUMRS-ICA2008  ( Japan ) 2008.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • 座長(Chairmanship)

    応用物理学会九州支部学術講演会  ( Japan ) 2008.11

     More details

    Type:Competition, symposium, etc. 

  • 副出版委員長

    International Dry Process SYmposium 2008  ( Japan ) 2008.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • プログラム委員、現地実行委員 International contribution

    International Congress on Plasma Physics(ICPP2008)  ( Japan ) 2008.9

     More details

    Type:Competition, symposium, etc. 

    Number of participants:1,000

  • 座長(Chairmanship) International contribution

    Interfinish 2008  ( Busan Korea ) 2008.6 - Present

     More details

    Type:Competition, symposium, etc. 

  • Interfinish 2008 International contribution

    ( Busan Korea ) 2008.6

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • セッションチェア International contribution

    2007 MRS-J  ( Japan ) 2007.12

     More details

    Type:Competition, symposium, etc. 

    Number of participants:200

  • 出版委員長 International contribution

    International Dry Process SYmposium 2007  ( Japan ) 2007.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:100

  • 座長(Chairmanship)

    第23回プラズマ・核融合学会年会  ( Japan ) 2006.11 - 2006.12

     More details

    Type:Competition, symposium, etc. 

  • Program Committee International contribution

    5th International Symposium on Dry Process  ( Korea Japan ) 2005.11 - Present

     More details

    Type:Competition, symposium, etc. 

    Number of participants:250

  • 5th International Symposium on Dry Process International contribution

    ( Korea Japan ) 2005.11

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • 座長(Chairmanship) International contribution

    International Symposium on EcoTopia Science2005 (ISETS05)  ( Nagoya Japan ) 2005.8 - Present

     More details

    Type:Competition, symposium, etc. 

  • International Symposium on EcoTopia Science2005 (ISETS05) International contribution

    ( Nagoya Japan ) 2005.8

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • Chairperson

    The 15th Symposium of the Materials Research Society of Japan  ( Japan ) 2004.12 - 2005.11

     More details

    Type:Competition, symposium, etc. 

    Number of participants:1,000

  • Program Committee International contribution

    4th International Symposium on Dry Process  ( Japan ) 2004.11 - Present

     More details

    Type:Competition, symposium, etc. 

    Number of participants:250

  • 4th International Symposium on Dry Process International contribution

    ( 東京大学 Japan ) 2004.11

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • 座長(Chairmanship) International contribution

    The 7th Asia Pacific Conference on Plasma Sicence and Technology and the 17th Symposium on Plasma Science for Materials  ( Fukuoka Japan ) 2004.7 - Present

     More details

    Type:Competition, symposium, etc. 

  • Local Organizing Commitee International contribution

    The 7th Asia Pacific Conference on Plasma Sicence and Technology and the 17th Symposium on Plasma Science for Materials  ( Fukuoka Japan ) 2004.7 - Present

     More details

    Type:Competition, symposium, etc. 

    Number of participants:500

  • The 7th Asia Pacific Conference on Plasma Sicence and Technology and the 17th Symposium on Plasma Science for Materials International contribution

    ( Fukuoka Japan ) 2004.7

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • 組織委員

    フロンティアプロセス2003  ( Japan ) 2003.8 - 2004.7

     More details

    Type:Competition, symposium, etc. 

    Number of participants:50

  • 組織委員

    フロンティアプロセス2002  ( Japan ) 2002.7 - 2003.6

     More details

    Type:Competition, symposium, etc. 

    Number of participants:50

  • 2012MRS-Spring Meeting Session WW orgnizer International contribution

    ( サンフランシスコ UnitedStatesofAmerica )

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • ICMCTF2015

    ( サンディエゴ UnitedStatesofAmerica )

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • 35th International Symposium on Dry Process(DPS2013) International contribution

    ( 済州ラマダプラザジェジュホテル Korea )

     More details

    Type:Competition, symposium, etc. 

    researchmap

  • 2014 MRS-Fall Meeting International contribution

    ( ボストン UnitedStatesofAmerica )

     More details

    Type:Competition, symposium, etc. 

    researchmap

▼display all

Other

  • Best Presentation Award of ISplasma 2012

    2012.3

     More details

    プラズマ,ナノテクノロジー,窒化物に関する主要国際会議の一つであるISplasma2012において,Interaction between amplitude modulated reactive plasmas and nanoparitcles grown in the plasmasと題して行った講演に対してBest Presentation Awardが授与された.

  • 平成23年度高温学会論文賞

    2012.3

     More details

    「フレキシブルデバイス創製に向けたプラズマ-ソフトマテリアル相互作用の解析」という論文で平成23年度高温学会賞を受賞した.

  • Best Presentation Award of ISplasma 2012

    2012.3

     More details

    プラズマ,ナノテクノロジー,窒化物に関する主要国際会議の一つであるISplasma2012において,Interaction between amplitude modulated reactive plasmas and nanoparitcles grown in the plasmasと題して行った講演に対してBest Presentation Awardが授与された.

    researchmap

  • 平成23年度高温学会論文賞

    2012.3

     More details

    「フレキシブルデバイス創製に向けたプラズマ-ソフトマテリアル相互作用の解析」という論文で平成23年度高温学会賞を受賞した.

    researchmap

  • プラズマナノ界面工学センターのセンター長としてフランスのジョセフ・フーリエ大学名誉教授と国際共同研究を推進

    2010.11

  • プラズマナノ界面工学センターのセンター長としてフランスのジョセフ・フーリエ大学名誉教授と国際共同研究を推進

    2010.11

     More details

  • プラズマナノ界面工学センターのセンター長としてドイツのルール大学教授と国際共同研究を推進

    2009.1

  • プラズマナノ界面工学センターのセンター長としてドイツのルール大学教授と国際共同研究を推進

    2009.1

     More details

▼display all

Research Projects

  • Integration Study of General Principles of Thin Film Formation by Plasma Processes.

    Grant number:24H00205  2024.4 - 2029.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (A)

    白谷 正治, 布村 正太, 鎌滝 晋礼, 古閑 一憲

      More details

    Grant type:Scientific research funding

    長年、成膜プロセスは、所望の膜質を実現する外部パラメータを実験的に探索する手法にとらわれているのが現状である。それは、成膜プロセスから膜質を理論的に同定する方法論が確立されていないためである。そこで、本研究は、プラズマ内部パラメータと膜質の定量的関係の一般則を導出することを目的としている。そこで、本研究では、① 電子密度やイオンエネルギーなどのプラズマ内部パラメータを発光情報から導出する定量的法則を見出すこと、②膜質のin-situ計測と大量データ収集システムを構築し、③ 外部パラメータ×プラズマ内部パラメータ×膜質の総合的な定量的な関係則を見出し、プラズマプロセス膜形成の一般則を導出する。

    CiNii Research

  • 「重要技術管理体制強化事業(極微細加工領域の露光用光源・光学系等の重要要素技術に係る調査)」

    2023.1 - 2024.3

    Research commissions

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • 持続可能な農業生産性向上を実現 するプラズマアグリサイエンス拠点に関する国立大学法人九州大学によ 研究開発

    2023 - 2024

    COI-NEXT

      More details

    Authorship:Coinvestigator(s)  Grant type:Contract research

  • 実験とシミュレーションの機械学習連携によるプラズマCVD における一般則の導出

    2022.10 - 2023.3

    Joint research

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • Plasma-modified peptides/proteins for multi-target anticancer treatment

    Grant number:23K22483  2022.4 - 2027.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

    Attri Pankaj, 田中 宏昌, 栗田 弘史, 竹内 希, 白谷 正治, 古閑 一憲

      More details

    Grant type:Scientific research funding

    Modified the amino acids through plasma and understood the structure changes in proteins and peptides in the absence and presence of co-solvents. Additionally, to understand the effect of plasma-modified protein action on cancer cells, we will bind the protein with drugs and inhibit their action.

    CiNii Research

  • 励起子トランジスタの創成と励起子輸送の学理探求:情報担体へ進化する励起子

    Grant number:23K20953  2021.4 - 2025.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

    板垣 奈穂, 白谷 正治

      More details

    Grant type:Scientific research funding

    励起子は電子と正孔がクーロン相互作用で結合した準粒子であり,半導体の光物性と光機能を担う中核として長年にわたり膨大な研究がなされてきた.一方でマクロスケールでは電気的に中性とみなされる励起子が,電界などの外場中でどのように輸送されるかの議論は殆どなされていない.本研究の最終目的は,外場中での励起子輸送メカニズムを解明し,励起子を情報担体へと進化させることである.
    本課題ではまず,その進化の鍵となる非局在型の室温・長寿命励起子をオリジナル材料ZAIONを用いて実現する.そしてこれを外場による励起子輸送の機構解明の場とするとともに,励起子のドリフト輸送を利用した励起子トランジスタを作製する.

    CiNii Research

  • プラズマCVDにおけるプラズマ変調による成膜機構の研究

    2020.10 - 2022.9

    Joint research

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • Mechanism elucidation of spatio-temporal structure formation of sheath fluctuation using optically trapped fine particles in plasmas

    Grant number:20H00142  2020 - 2023

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (A)

    白谷 正治, 小林 達哉, 布村 正太, 石川 健治, 鎌滝 晋礼, 富田 健太郎

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

    プラズマプロセスで形成するナノ構造揺らぎの抑制が、3次元集積回路の超高層化における最重要課題である。本研究では、超高層3次元集積回路の実現に最も重要なエッチング形状揺らぎとプラズマ揺らぎの関係に焦点を当てる。高アスペクト比エッチングでは、イオンの指向性揺らぎが問題である。イオンの運動エネルギーの異方性に関係する微弱な電場揺らぎは従来の電場計測法では検知できない。本研究では、光捕捉微粒子を用いた超高感電場計測法を用いて、シース電場構造の時空間揺らぎの形成機構を解明する。プラズマ・基板間のシース電場の時空間構造揺らぎを実測するとともに、その発生原因を同定する。

    CiNii Research

  • Development of "super-bio-functions" by plasma-activated biological substances

    Grant number:19H05462  2019.4 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Specially Promoted Research

    堀 勝, 梶山 広明, 伊藤 昌文, 片岡 洋祐, 白谷 正治, 豊國 伸哉, 榊原 均, 松本 省吾, 古閑 一憲, 吉川 史隆

      More details

    Grant type:Scientific research funding

    プラズマによって誘起された生体活性物質の分子構造と物性を突き止め、各物質と生体との相互作用を解明することによって、超バイオ機能発現の本質を明らかにする。また、活性物質による細胞死、増殖、分化などの真核生物に普遍的な現象の分子機構を解明する。その成果を基盤にして、プラズマ医療、農業という未来産業を拓く羅針盤となる、学術基盤『プラズマ生命科学』を切り拓き、地球規模の課題である、難病治療や食糧不足などを解決するイノベーションを産み出す。

    CiNii Research

  • ナノ構造デラフォス陰極と両面受光型光電デバイスの結合型無バイアス太陽燃料高効率化

    2019 - 2020

    Japan Society for the Promotion of Science  Bilateral program

      More details

    Authorship:Principal investigator  Grant type:Joint research

  • Tailored waveformを用いたナノクラスタ制御PECVD技術の研究

    2018.4 - 2023.9

    Joint research

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • スパッタエピタキシーによる革新的エキシトンデバイスの実現と励起子輸送機構の解明

    Grant number:18H01206  2018 - 2021

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

    板垣 奈穂, 白谷 正治

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

    本研究は,高精度フラックス制御スパッタと代表者オリジナル材料ZIONを駆使して,革新的エキシトンデバイスを創製することを目的とした.主な成果としては,①単結晶ZIONエピタキシャル成長,②原子レベルで急峻なZION/ZnOヘテロ界面の形成,③ゲート電極への光照射によるエキシトントランジスタのスイッチング動作実証,が挙げられる.また本研究では,従来ブラックボックスとなっていた外場によるエキシトン輸送の機構解明も試みた.エキシトン輸送方向に平行な電界成分を外力とした拡散方程式を解いた結果,両極性拡散と不均一電界中での双極子の並進運動がデバイス内でのエキシトンの主な輸送機構である可能性が示された.

    CiNii Research

  • 植物成長促進による植物工場の生産性向上を実現する照射環境制御型プラズマ援用種子処理装置開発

    2018 - 2020

    戦略的基盤技術高度化支援事業

      More details

    Authorship:Coinvestigator(s)  Grant type:Contract research

  • 圧力可変マイクロプラズマによる異方性プラズマCVD

    Grant number:16K13922  2016 - 2017

    Grants-in-Aid for Scientific Research  Grant-in-Aid for challenging Exploratory Research

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • プラズマ・触媒ナノ粒子複合反応場によるCO2資源化技術の開発

    2015 - 2016

    JST「太陽系フロンティア開拓による人類の生存圏・ 活動領域拡大に向けたオープンイノベーションハブ」

      More details

    Authorship:Coinvestigator(s)  Grant type:Contract research

  • プラズマを用いたナノ粒子精密配置制御の学術基盤創成

    Grant number:26246036  2014 - 2018

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (A)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • コンパクトドリフトチューブ型ナノ粒子検出法の創成

    Grant number:26600126  2014 - 2015

    Grants-in-Aid for Scientific Research  Grant-in-Aid for challenging Exploratory Research

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • プラズマとナノ界面の相互作用に関する学術統合研究

    Grant number:21110001  2014

    Japan Society for the Promotion of Science・Ministry of Education, Culture, Sports, Science and Technology  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research on Innovative Areas

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • 高光安定a-Si太陽電池製作のためのガス流動・ナノ粒子制御技術に関する共同研究

    2013.6 - 2014.3

    Joint research

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • 高度秩序構造を有する薄膜多接合太陽電池の研究開発ー新概念新材料の検討ー(継続)

    2013 - 2014

    NEDO

      More details

    Authorship:Coinvestigator(s)  Grant type:Contract research

  • 高光安定a-Si太陽電池製作のためのガス流動・ナノ粒子制御技術に関する共同研究

    2012.5 - 2013.3

    Joint research

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • リチウムイオン電池用Si系ナノ粒子含有ポーラス負極に関する研究開発

    2012

    地域イノベーション戦略支援プログラム(都市エリア型)可能性試験

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • レーザ吸収を用いた反応性プラズマの診断 International coauthorship

    2010.11 - 2011.9

    九州大学,ジョセフフーリエ大学(フランス),名古屋大学 

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid) 

  • ナノ粒子制御によるアモルファスシリコンセルの高光安定化に関する研究

    2010 - 2014

    NEDO

      More details

    Authorship:Coinvestigator(s)  Grant type:Contract research

  • 細胞周期同期パルスプラズマ照射による細胞増殖加速

    Grant number:22656022  2010 - 2011

    Grants-in-Aid for Scientific Research  Grant-in-Aid for challenging Exploratory Research

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • 微粒子プラズマのフロンティア

    2010

    核融合科学研究所一般共同研究

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • LHD第一壁へのダストフラックスに対する壁電位の効果

    2010

    核融合科学研究所一般共同研究

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • プラズマを用いたナノシステム構築法の研究 International coauthorship

    2009.3 - 2013.3

    九州大学,ルール大学(ドイツ) 

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid) 

  • ナノ粒子含有プラズマによるナノ界面ボンドエンジニアリングの創生

    Grant number:21110005  2009 - 2013

    Japan Society for the Promotion of Science・Ministry of Education, Culture, Sports, Science and Technology  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research on Innovative Areas

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • プラズマとナノ界面の相互作用に関する総括研究

    Grant number:21110001  2009 - 2013

    Japan Society for the Promotion of Science・Ministry of Education, Culture, Sports, Science and Technology  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research on Innovative Areas

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • LHD内壁へのダスト付着量の壁電位依存性に関する研究

    2009

    核融合科学研究所一般共同研究

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • プラズマを用いた次世代LSI用低誘電率層間絶縁膜の作成

    2008.3 - 2012.3

    独立行政法人産業技術総合研究所(日本) 

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid) 

  • 高度秩序構造を有する薄膜多接合太陽電池の研究開発ー新概念新材料の検討ー

    2008 - 2014

    NEDO

      More details

    Authorship:Coinvestigator(s)  Grant type:Contract research

  • ナノブロック輸送・配置の学術・技術基盤構築

    Grant number:20360040  2008 - 2010

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • LHDダストとモデル実験装置ダストの比較

    2008

    核融合科学研究所一般共同研究

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • トップセルの光劣化の基礎現象解明

    2007.2 - 2010.3

    Research commissions

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • 太陽光発電システム未来技術研究開発

    2007.2 - 2010.3

    三菱重工業,九州大学,大阪大学 

      More details

    Authorship:Coinvestigator(s) 

    本研究は,九州大学,三菱重工,大阪大学が共同で行うものであり,全体としては,薄膜シリコン系スタック型太陽電池において、従来トップセル材料として用いられてきたアモルファスシリコンが持つ光劣化の問題を根本的に解決すし、それを用いた低コスト高効率太陽電池製造技術を確立し、モジュールコストを50円/W以下を可能とする指針を得ることを目的としている.
     九州大学の分担内容は,九州大学プラズマ研究室で開発したSiナノ粒子成長制御法と微ナノ観測技術をもとに,上述の薄膜シリコン系スタック型太陽電池を作製する際に必要となるトップセルの光劣化の基礎現象解明を行うことを目的としている.

  • CIGSの経気道性曝露による生体影響に関する研究

    2007.1 - 2007.3

    Research commissions

      More details

    Authorship:Coinvestigator(s)  Grant type:Other funds from industry-academia collaboration

  • プラズマナノ科学創成によるプロセスナビゲーション構築とソフト材料加工

    2007 - 2011

    JST Strategic Basic Research Program (Ministry of Education, Culture, Sports, Science and Technology)

      More details

    Authorship:Coinvestigator(s)  Grant type:Contract research

  • 微粒子プラズマによる臨界現象

    2007 - 2009

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • インジウム新素材によるインジウム肺症の実験的研究

    2007 - 2009

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • 炭素クラスターのアーク合成におけるクラスター径と密度の空間分布測定

    Grant number:19540518  2007 - 2008

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (C)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • 電子デバイス工学研究資金

    2007

      More details

    Grant type:Donation

  • シランプラズマ中のクラスタ量の制御法の開発

    2006.12 - 2009.3

    Joint research

      More details

    Authorship:Principal investigator  Grant type:Other funds from industry-academia collaboration

  • プラズマを用いたナノカプセルの創製と物質内包技術の開発

    Grant number:18656027  2006 - 2007

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Exploratory Research

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • LHDにおけるダストのその場サンプリングと分析

    2006 - 2007

    核融合科学研究所一般共同研究

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • 集積回路内配線形成に関する研究

    2004.10 - 2005.3

    Joint research

      More details

    Authorship:Coinvestigator(s)  Grant type:Other funds from industry-academia collaboration

  • 多孔質低誘電率絶縁膜に関する研究

    2004.10 - 2005.3

    Joint research

      More details

    Authorship:Coinvestigator(s)  Grant type:Other funds from industry-academia collaboration

  • ナノ構造の新作製法としてのプラズマ異方性CVD

    Grant number:16360020  2004 - 2006

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • ナノ結晶シリコントップセル化技術開発

    2004 - 2005

    NEDO

      More details

    Authorship:Principal investigator  Grant type:Contract research

  • 微重力反応性プラズマ中の微粒子成長

    2003 - 2004

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Exploratory Research

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • 2段プラズマCVD法による超低誘電率層間絶縁膜に関する研究

    2003

      More details

    Grant type:Donation

  • 超高効率太陽電池作製のためのクラスタ反応制御プラズマCVD

    2002 - 2004

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (A)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • 革新的次世代太陽光発電システム技術研究開発

    2000.6 - 2003.3

    産業技術総合研究所,九州大学,凸版印刷,スタンレー電気,日本板硝子 

      More details

    Authorship:Coinvestigator(s) 

    本研究は,九州大学,産業技術総合研究所,凸版印刷,スタンレー電気,日本板硝子が共同で行うものであり,全体としては,薄膜シリコン系スタック型太陽電池において、従来トップセル材料として用いられてきたアモルファスシリコンが持つ光劣化の問題を根本的に解決する革新的材料、ナノ構造制御シリコンを開発し、それを用いた低コスト高効率太陽電池製造技術を確立し、モジュールコストを50円/W以下を可能とする指針を得ることを目的としている.
     九州大学の分担内容は,九州大学プラズマ研究室で開発したSi微粒子成長制御法と微粒子観測技術をもとに,上述のナノ構造制御シリコン太陽電池を作製する際に必要となるナノ構造制御シリコン材料の開発を行うことを目的としている.

  • プラズマCVD装置中のクラスタの成長と抑制

    2000 - 2002

    Japan Society for the Promotion of Science  Bilateral program

      More details

    Authorship:Coinvestigator(s)  Grant type:Joint research

  • シランプラズマ中のSiクラスタの成長機構に関する研究

    1999 - 2000

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Coinvestigator(s)  Grant type:Scientific research funding

  • 反応性プラズマ中の微粒子成長機構に関する研究

    1997 - 1999

    Japan Society for the Promotion of Science  Bilateral program

      More details

    Authorship:Coinvestigator(s)  Grant type:Joint research

  • 気相中微粒子の粒径・密度・屈折率の超高感度レーザ偏光散乱その場計測法の開発

    1996 - 1997

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Experiment and Research (B)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • プラズマCVD法による銅薄膜の選択形成に関する研究

    1995

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Encouragement of Scientists (A)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • プラズマCVDによる銅薄膜形成に関する研究

    1994

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Encouragement of Scientists (A)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • 微粒子プラズマに関する実験的研究

    1992

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Encouragement of Scientists (A)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

  • シランプラズマ中における微粒子発生機構に関する研究

    1989

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Encouragement of Scientists (A)

      More details

    Authorship:Principal investigator  Grant type:Scientific research funding

▼display all

Educational Activities

  • ●学部
     電磁気学I
     プラズマ工学
     卒業研究

    ●大学院
     ナノプロセス工学特論
     電気電子工学演習第一
     電気電子工学演習第ニ
     電気電子工学演習第三
     電気電子工学特別研究
     プラズマ・光プロセス特別講究
     電気電子工学特別演習

Class subject

  • ナノプロセス工学特論Ⅱ

    2024.6 - 2024.8   Summer quarter

  • Nanoprocess Engineering II

    2024.6 - 2024.8   Summer quarter

  • 電気電子工学読解Ⅰ

    2024.4 - 2024.9   First semester

  • 電気電子工学演示Ⅰ

    2024.4 - 2024.9   First semester

  • ナノプロセス工学特論Ⅰ

    2024.4 - 2024.6   Spring quarter

  • Nanoprocess Engineering I

    2024.4 - 2024.6   Spring quarter

  • プラズマ工学Ⅱ

    2023.12 - 2024.2   Winter quarter

  • プラズマ工学

    2023.10 - 2024.3   Second semester

  • 電気電子工学演示Ⅱ

    2023.10 - 2024.3   Second semester

  • 電気電子工学読解Ⅱ

    2023.10 - 2024.3   Second semester

  • 電磁気学Ⅰ(EC)

    2023.10 - 2023.12   Fall quarter

  • プラズマ工学Ⅰ

    2023.10 - 2023.12   Fall quarter

  • 電磁気学Ⅰ(B)

    2023.10 - 2023.12   Fall quarter

  • Nanoprocess Engineering II

    2023.6 - 2023.8   Summer quarter

  • ナノプロセス工学特論Ⅱ

    2023.6 - 2023.8   Summer quarter

  • ナノプロセス特別講究

    2023.4 - 2024.3   Full year

  • Advanced Research in Electrical and Electronic Eng II

    2023.4 - 2024.3   Full year

  • Advanced Research in Electrical and Electronic Engineering I

    2023.4 - 2024.3   Full year

  • Advanced Seminar in Electrical and Electronic Engineering

    2023.4 - 2024.3   Full year

  • 電気電子工学特別研究Ⅱ

    2023.4 - 2024.3   Full year

  • 電気電子工学特別研究Ⅰ

    2023.4 - 2024.3   Full year

  • 電気電子工学特別演習

    2023.4 - 2024.3   Full year

  • Advanced Research in Nanoprocess

    2023.4 - 2024.3   Full year

  • 電気電子工学演示Ⅰ

    2023.4 - 2023.9   First semester

  • 電気電子工学読解Ⅰ

    2023.4 - 2023.9   First semester

  • Nanoprocess Engineering I

    2023.4 - 2023.6   Spring quarter

  • ナノプロセス工学特論Ⅰ

    2023.4 - 2023.6   Spring quarter

  • プラズマ工学

    2022.10 - 2023.3   Second semester

  • 電気電子工学演示Ⅱ

    2022.10 - 2023.3   Second semester

  • 電気電子工学読解Ⅱ

    2022.10 - 2023.3   Second semester

  • 電磁気学Ⅰ(EC)

    2022.10 - 2022.12   Fall quarter

  • 電磁気学Ⅰ(B)

    2022.10 - 2022.12   Fall quarter

  • Nanoprocess Engineering II

    2022.6 - 2022.8   Summer quarter

  • ナノプロセス工学特論Ⅱ

    2022.6 - 2022.8   Summer quarter

  • 国際演示技法

    2022.4 - 2023.3   Full year

  • Advanced Seminar in Electrical and Electronic Engineering

    2022.4 - 2023.3   Full year

  • Adv Res in Electrical and Electronic Engineering II

    2022.4 - 2023.3   Full year

  • Advanced Research in Electrical and Electronic Engineering I

    2022.4 - 2023.3   Full year

  • 電気電子工学特別講究第二

    2022.4 - 2023.3   Full year

  • 電気電子工学特別講究第一

    2022.4 - 2023.3   Full year

  • 電気電子工学特別演習

    2022.4 - 2023.3   Full year

  • Advanced Research in Nanoprocess

    2022.4 - 2023.3   Full year

  • ナノプロセス特別講究

    2022.4 - 2023.3   Full year

  • Advanced Project Management Technique

    2022.4 - 2023.3   Full year

  • Exercise in Teaching

    2022.4 - 2023.3   Full year

  • Intellectual Property Management

    2022.4 - 2023.3   Full year

  • Scientific English Presentation

    2022.4 - 2023.3   Full year

  • 先端プロジェクト管理技法

    2022.4 - 2023.3   Full year

  • ティーチング演習

    2022.4 - 2023.3   Full year

  • 知的財産技法

    2022.4 - 2023.3   Full year

  • 電気電子工学演示Ⅰ

    2022.4 - 2022.9   First semester

  • 電気電子工学読解Ⅰ

    2022.4 - 2022.9   First semester

  • Nanoprocess Engineering I

    2022.4 - 2022.6   Spring quarter

  • ナノプロセス工学特論Ⅰ

    2022.4 - 2022.6   Spring quarter

  • 電気電子工学読解Ⅱ

    2021.10 - 2022.3   Second semester

  • プラズマ工学

    2021.10 - 2022.3   Second semester

  • 電磁気学Ⅰ(B)

    2021.10 - 2021.12   Fall quarter

  • 電磁気学Ⅰ(B)

    2021.10 - 2021.12   Fall quarter

  • Nanoprocess Engineering II

    2021.6 - 2021.8   Summer quarter

  • ナノプロセス工学特論Ⅱ

    2021.6 - 2021.8   Summer quarter

  • Advanced Seminar in Electrical and Electronic Engineering

    2021.4 - 2022.3   Full year

  • Adv Res in Electrical and Electronic Engineering II

    2021.4 - 2022.3   Full year

  • Advanced Research in Electrical and Electronic Engineering I

    2021.4 - 2022.3   Full year

  • 電気電子工学特別講究第二

    2021.4 - 2022.3   Full year

  • 電気電子工学特別講究第一

    2021.4 - 2022.3   Full year

  • 電気電子工学特別演習

    2021.4 - 2022.3   Full year

  • Advanced Research in Nanoprocess

    2021.4 - 2022.3   Full year

  • ナノプロセス特別講究

    2021.4 - 2022.3   Full year

  • Advanced Project Management Technique

    2021.4 - 2022.3   Full year

  • Exercise in Teaching

    2021.4 - 2022.3   Full year

  • Intellectual Property Management

    2021.4 - 2022.3   Full year

  • Scientific English Presentation

    2021.4 - 2022.3   Full year

  • 先端プロジェクト管理技法

    2021.4 - 2022.3   Full year

  • ティーチング演習

    2021.4 - 2022.3   Full year

  • 知的財産技法

    2021.4 - 2022.3   Full year

  • 国際演示技法

    2021.4 - 2022.3   Full year

  • 数学共創概論Ⅰ

    2021.4 - 2021.9   First semester

  • [M2]Nanoprocess Engineering

    2021.4 - 2021.9   First semester

  • [M2]電気電子工学演習第三

    2021.4 - 2021.9   First semester

  • 電気電子工学読解Ⅰ

    2021.4 - 2021.9   First semester

  • [M2]ナノプロセス工学特論

    2021.4 - 2021.9   First semester

  • Nanoprocess Engineering

    2021.4 - 2021.9   First semester

  • ナノプロセス工学特論

    2021.4 - 2021.9   First semester

  • Nanoprocess Engineering I

    2021.4 - 2021.6   Spring quarter

  • ナノプロセス工学特論Ⅰ

    2021.4 - 2021.6   Spring quarter

  • 電気電子工学演習第二

    2020.10 - 2021.3   Second semester

  • 電磁気学Ⅰ(B)

    2020.10 - 2020.12   Fall quarter

  • Intellectual Property Management

    2020.4 - 2021.3   Full year

  • Scientific English Presentation

    2020.4 - 2021.3   Full year

  • Overseas Internship

    2020.4 - 2021.3   Full year

  • Advanced Seminar in Electrical and Electronic Engineering

    2020.4 - 2021.3   Full year

  • Adv Res in Electrical and Electronic Engineering II

    2020.4 - 2021.3   Full year

  • Advanced Research in Electrical and Electronic Engineering I

    2020.4 - 2021.3   Full year

  • 電気電子工学特別講究第二

    2020.4 - 2021.3   Full year

  • 電気電子工学特別講究第一

    2020.4 - 2021.3   Full year

  • 電気電子工学特別演習

    2020.4 - 2021.3   Full year

  • Advanced Research in Nanoprocess

    2020.4 - 2021.3   Full year

  • ナノプロセス特別講究

    2020.4 - 2021.3   Full year

  • Advanced Project Management Technique

    2020.4 - 2021.3   Full year

  • Exercise in Teaching

    2020.4 - 2021.3   Full year

  • Intellectual Property Management

    2020.4 - 2021.3   Full year

  • Scientific English Presentation

    2020.4 - 2021.3   Full year

  • 先端プロジェクト管理技法

    2020.4 - 2021.3   Full year

  • ティーチング演習

    2020.4 - 2021.3   Full year

  • 知的財産技法

    2020.4 - 2021.3   Full year

  • 国際演示技法

    2020.4 - 2021.3   Full year

  • Exercise in Teaching

    2020.4 - 2021.3   Full year

  • 電気電子工学特別講究第二

    2020.4 - 2021.3   Full year

  • 電気電子工学特別講究第一

    2020.4 - 2021.3   Full year

  • Advanced Project Management Technique

    2020.4 - 2021.3   Full year

  • 電気電子工学演習第三

    2020.4 - 2020.9   First semester

  • ナノプロセス工学特論

    2020.4 - 2020.9   First semester

  • 電気電子工学演習第一

    2020.4 - 2020.9   First semester

  • 電気電子工学演習第二

    2019.10 - 2020.3   Second semester

  • プラズマ工学

    2019.10 - 2020.3   Second semester

  • 電磁気学Ⅰ(B)

    2019.10 - 2019.12   Fall quarter

  • 電気電子工学特別講究第二

    2019.4 - 2020.3   Full year

  • Advanced Research in Electrical and Electronic Engineering I

    2019.4 - 2020.3   Full year

  • Advanced Research in Electrical and Electronic Engineering II

    2019.4 - 2020.3   Full year

  • 電気電子工学特別演習

    2019.4 - 2020.3   Full year

  • ナノプロセス特別講究

    2019.4 - 2020.3   Full year

  • Advanced Research in Nanoprocess

    2019.4 - 2020.3   Full year

  • 国際演示技法

    2019.4 - 2020.3   Full year

  • 知的財産技法

    2019.4 - 2020.3   Full year

  • ティーチング演習

    2019.4 - 2020.3   Full year

  • 先端プロジェクト管理技法

    2019.4 - 2020.3   Full year

  • Overseas Internship

    2019.4 - 2020.3   Full year

  • Scientific English Presentation

    2019.4 - 2020.3   Full year

  • Intellectual Property Management

    2019.4 - 2020.3   Full year

  • Exercise in Teaching

    2019.4 - 2020.3   Full year

  • Advanced Project Management Technique

    2019.4 - 2020.3   Full year

  • 電気電子工学特別講究第一

    2019.4 - 2020.3   Full year

  • 電気電子工学演習第三

    2019.4 - 2019.9   First semester

  • ナノプロセス工学特論

    2019.4 - 2019.9   First semester

  • 電気電子工学演習第一

    2019.4 - 2019.9   First semester

  • 電気電子工学演習第二

    2018.10 - 2019.3   Second semester

  • プラズマ工学

    2018.10 - 2019.3   Second semester

  • 電気電子工学演習第二

    2018.10 - 2019.3   Second semester

  • 電磁気学Ⅰ(B)

    2018.10 - 2018.12   Fall quarter

  • 国際演示技法

    2018.4 - 2019.3   Full year

  • Advanced Research in Nanoprocess

    2018.4 - 2019.3   Full year

  • ナノプロセス特別講究

    2018.4 - 2019.3   Full year

  • Advanced Seminar in Electrical and Electronic Engineering

    2018.4 - 2019.3   Full year

  • 電気電子工学特別演習

    2018.4 - 2019.3   Full year

  • Adv Res in Electrical and Electronic Engineering II

    2018.4 - 2019.3   Full year

  • Advanced Research in Electrical and Electronic Engineering I

    2018.4 - 2019.3   Full year

  • 電気電子工学特別講究第二

    2018.4 - 2019.3   Full year

  • 電気電子工学特別講究第一

    2018.4 - 2019.3   Full year

  • Advanced Project Management Technique

    2018.4 - 2019.3   Full year

  • Exercise in Teaching

    2018.4 - 2019.3   Full year

  • Intellectual Property Management

    2018.4 - 2019.3   Full year

  • Scientific English Presentation

    2018.4 - 2019.3   Full year

  • Overseas Internship

    2018.4 - 2019.3   Full year

  • 先端プロジェクト管理技法

    2018.4 - 2019.3   Full year

  • ティーチング演習

    2018.4 - 2019.3   Full year

  • 知的財産技法

    2018.4 - 2019.3   Full year

  • ナノプロセス工学特論

    2018.4 - 2018.9   First semester

  • 電気電子工学演習第三

    2018.4 - 2018.9   First semester

  • 電気電子工学演習第一

    2018.4 - 2018.9   First semester

  • 電気電子工学演習第二

    2017.10 - 2018.3   Second semester

  • プラズマ工学

    2017.10 - 2018.3   Second semester

  • 電磁気学Ⅰ

    2017.10 - 2017.12   Fall quarter

  • Advanced Research in Nanoprocess

    2017.4 - 2018.3   Full year

  • 国際演示技法

    2017.4 - 2018.3   Full year

  • 知的財産技法

    2017.4 - 2018.3   Full year

  • ティーチング演習

    2017.4 - 2018.3   Full year

  • 先端プロジェクト管理技法

    2017.4 - 2018.3   Full year

  • Overseas Internship

    2017.4 - 2018.3   Full year

  • Scientific English Presentation

    2017.4 - 2018.3   Full year

  • Intellectual Property Management

    2017.4 - 2018.3   Full year

  • Exercise in Teaching

    2017.4 - 2018.3   Full year

  • Advanced Project Management Technique

    2017.4 - 2018.3   Full year

  • 電気電子工学特別講究第一

    2017.4 - 2018.3   Full year

  • 電気電子工学特別講究第二

    2017.4 - 2018.3   Full year

  • Advanced Research in Electrical and Electronic Engineering I

    2017.4 - 2018.3   Full year

  • Adv Res in Electrical and Electronic Engineering II

    2017.4 - 2018.3   Full year

  • 電気電子工学特別演習

    2017.4 - 2018.3   Full year

  • Advanced Seminar in Electrical and Electronic Engineering

    2017.4 - 2018.3   Full year

  • ナノプロセス特別講究

    2017.4 - 2018.3   Full year

  • 電気電子工学演習第三

    2017.4 - 2017.9   First semester

  • ナノプロセス工学特論

    2017.4 - 2017.9   First semester

  • 電気電子工学演習第一

    2017.4 - 2017.9   First semester

  • 電気電子工学特別講究第二

    2016.10 - 2017.3   Second semester

  • アカデミックフロンティア

    2016.10 - 2017.3   Second semester

  • 電磁気学I

    2016.10 - 2017.3   Second semester

  • プラズマ工学

    2016.10 - 2017.3   Second semester

  • 電気電子工学演習第二

    2016.10 - 2017.3   Second semester

  • 電気電子工学特別研究第一

    2016.10 - 2017.3   Second semester

  • 電気電子工学特別研究第三

    2016.10 - 2017.3   Second semester

  • 電気電子工学特別講究第一

    2016.10 - 2017.3   Second semester

  • 電気電子工学特別演習

    2016.4 - 2017.3   Full year

  • 電気情報工学卒業研究

    2016.4 - 2017.3   Full year

  • システム情報科学特別講究

    2016.4 - 2017.3   Full year

  • 電気電子工学特別研究第二

    2016.4 - 2016.9   First semester

  • 電気情報工学入門

    2016.4 - 2016.9   First semester

  • ナノプロセス工学特論

    2016.4 - 2016.9   First semester

  • 電気電子工学演習第一

    2016.4 - 2016.9   First semester

  • 電気電子工学演習第三

    2016.4 - 2016.9   First semester

  • 基幹物理IB演習

    2015.10 - 2016.3   Second semester

  • 電気電子工学特別講究第二

    2015.10 - 2016.3   Second semester

  • 電気電子工学特別講究第一

    2015.10 - 2016.3   Second semester

  • 電気電子工学特別研究第三

    2015.10 - 2016.3   Second semester

  • 電気電子工学特別研究第一

    2015.10 - 2016.3   Second semester

  • 電気電子工学演習第二

    2015.10 - 2016.3   Second semester

  • プラズマ工学

    2015.10 - 2016.3   Second semester

  • 電磁気学I

    2015.10 - 2016.3   Second semester

  • 基幹物理IB

    2015.10 - 2016.3   Second semester

  • 電気情報工学卒業研究

    2015.4 - 2016.3   Full year

  • 電気電子工学特別演習

    2015.4 - 2016.3   Full year

  • システム情報科学特別講究

    2015.4 - 2016.3   Full year

  • 電気情報工学入門

    2015.4 - 2015.9   First semester

  • 電気電子工学特別研究第二

    2015.4 - 2015.9   First semester

  • 電気電子工学演習第三

    2015.4 - 2015.9   First semester

  • 電気電子工学演習第一

    2015.4 - 2015.9   First semester

  • ナノプロセス工学特論

    2015.4 - 2015.9   First semester

  • 電気電子工学特別講究第二

    2014.10 - 2015.3   Second semester

  • 基幹物理IB演習

    2014.10 - 2015.3   Second semester

  • 基幹物理IB

    2014.10 - 2015.3   Second semester

  • プラズマ工学

    2014.10 - 2015.3   Second semester

  • 電気電子工学演習第二

    2014.10 - 2015.3   Second semester

  • 電気電子工学特別研究第一

    2014.10 - 2015.3   Second semester

  • 電気電子工学特別研究第三

    2014.10 - 2015.3   Second semester

  • 電気電子工学特別講究第一

    2014.10 - 2015.3   Second semester

  • 電気電子工学特別演習

    2014.4 - 2015.3   Full year

  • 電気情報工学卒業研究

    2014.4 - 2015.3   Full year

  • システム情報科学特別講究

    2014.4 - 2015.3   Full year

  • 電気電子工学特別研究第二

    2014.4 - 2014.9   First semester

  • 電気情報工学入門

    2014.4 - 2014.9   First semester

  • ナノプロセス工学特論

    2014.4 - 2014.9   First semester

  • 電気電子工学演習第一

    2014.4 - 2014.9   First semester

  • 電気電子工学演習第三

    2014.4 - 2014.9   First semester

  • 電磁気学I

    2013.10 - 2014.3   Second semester

  • 電気電子工学特別講究第二

    2013.10 - 2014.3   Second semester

  • 電気電子工学特別講究第一

    2013.10 - 2014.3   Second semester

  • 電気電子工学特別研究第三

    2013.10 - 2014.3   Second semester

  • 電気電子工学特別研究第一

    2013.10 - 2014.3   Second semester

  • 電気電子工学演習第二

    2013.10 - 2014.3   Second semester

  • プラズマ工学

    2013.10 - 2014.3   Second semester

  • 電気情報工学卒業研究

    2013.4 - 2014.3   Full year

  • 電気電子工学特別演習

    2013.4 - 2014.3   Full year

  • システム情報科学特別講究

    2013.4 - 2014.3   Full year

  • 電気情報工学入門

    2013.4 - 2013.9   First semester

  • 電気電子工学特別研究第二

    2013.4 - 2013.9   First semester

  • 電気電子工学演習第三

    2013.4 - 2013.9   First semester

  • 電気電子工学演習第一

    2013.4 - 2013.9   First semester

  • ナノプロセス工学特論

    2013.4 - 2013.9   First semester

  • 電磁気学I

    2012.10 - 2013.3   Second semester

  • 電気電子工学特別講究第二

    2012.10 - 2013.3   Second semester

  • 電気電子工学特別講究第一

    2012.10 - 2013.3   Second semester

  • 電気電子工学特別研究第三

    2012.10 - 2013.3   Second semester

  • 電気電子工学特別研究第一

    2012.10 - 2013.3   Second semester

  • 電気電子工学演習第二

    2012.10 - 2013.3   Second semester

  • 電気情報工学卒業研究

    2012.4 - 2013.3   Full year

  • 電気電子工学特別演習

    2012.4 - 2013.3   Full year

  • システム情報科学特別講究

    2012.4 - 2013.3   Full year

  • 電気情報工学入門

    2012.4 - 2012.9   First semester

  • 電気電子工学特別研究第二

    2012.4 - 2012.9   First semester

  • 電気電子工学演習第三

    2012.4 - 2012.9   First semester

  • 電気電子工学演習第一

    2012.4 - 2012.9   First semester

  • ナノプロセス工学特論

    2012.4 - 2012.9   First semester

  • プラズマエレクトロニクス

    2012.4 - 2012.9   First semester

  • 電磁気学I

    2011.10 - 2012.3   Second semester

  • 電気電子工学特別講究第二

    2011.10 - 2012.3   Second semester

  • 電気電子工学特別講究第一

    2011.10 - 2012.3   Second semester

  • 電気電子工学特別研究第三

    2011.10 - 2012.3   Second semester

  • 電気電子工学特別研究第一

    2011.10 - 2012.3   Second semester

  • 電気電子工学演習第二

    2011.10 - 2012.3   Second semester

  • 電気情報工学卒業研究

    2011.4 - 2012.3   Full year

  • 電気電子工学特別演習

    2011.4 - 2012.3   Full year

  • システム情報科学特別講究

    2011.4 - 2012.3   Full year

  • 電気情報工学入門

    2011.4 - 2011.9   First semester

  • 電気電子工学特別研究第二

    2011.4 - 2011.9   First semester

  • 電気電子工学演習第三

    2011.4 - 2011.9   First semester

  • 電気電子工学演習第一

    2011.4 - 2011.9   First semester

  • ナノプロセス工学特論

    2011.4 - 2011.9   First semester

  • プラズマエレクトロニクス

    2011.4 - 2011.9   First semester

  • 電磁気学I

    2010.10 - 2011.3   Second semester

  • 電気電子工学特別講究第二

    2010.10 - 2011.3   Second semester

  • 電気電子工学特別講究第一

    2010.10 - 2011.3   Second semester

  • 電気電子工学特別研究第三

    2010.10 - 2011.3   Second semester

  • 電気電子工学特別研究第一

    2010.10 - 2011.3   Second semester

  • 電気電子工学演習第二

    2010.10 - 2011.3   Second semester

  • 電気情報工学卒業研究

    2010.4 - 2011.3   Full year

  • 電気電子工学特別演習

    2010.4 - 2011.3   Full year

  • システム情報科学特別講究

    2010.4 - 2011.3   Full year

  • 電気情報工学入門

    2010.4 - 2010.9   First semester

  • 電気電子工学特別研究第二

    2010.4 - 2010.9   First semester

  • 電気電子工学演習第三

    2010.4 - 2010.9   First semester

  • 電気電子工学演習第一

    2010.4 - 2010.9   First semester

  • ナノプロセス工学特論

    2010.4 - 2010.9   First semester

  • プラズマエレクトロニクス

    2010.4 - 2010.9   First semester

  • 電子デバイス工学演習第三

    2009.10 - 2010.3   Second semester

  • 電磁気学I

    2009.10 - 2010.3   Second semester

  • 電子デバイス工学演習第一

    2009.10 - 2010.3   Second semester

  • 電子デバイス工学特別研究

    2009.4 - 2010.3   Full year

  • プラズマ・光プロセス特別講究

    2009.4 - 2010.3   Full year

  • 電子デバイス工学特別演習

    2009.4 - 2010.3   Full year

  • 電気情報工学卒業研究

    2009.4 - 2010.3   Full year

  • 電子デバイス工学演習第二

    2009.4 - 2009.9   First semester

  • ナノプロセス工学特論

    2009.4 - 2009.9   First semester

  • プラズマエレクトロニクス

    2009.4 - 2009.9   First semester

  • 電気情報工学入門

    2009.4 - 2009.9   First semester

  • 電磁気学I

    2008.10 - 2009.3   Second semester

  • 電子デバイス工学演習第一

    2008.10 - 2009.3   Second semester

  • 電子デバイス工学演習第三

    2008.10 - 2009.3   Second semester

  • プラズマ・光プロセス特別講究

    2008.4 - 2009.3   Full year

  • 電子デバイス工学特別演習

    2008.4 - 2009.3   Full year

  • 電気情報工学卒業研究

    2008.4 - 2009.3   Full year

  • 電子デバイス工学特別研究

    2008.4 - 2009.3   Full year

  • プラズマプロセス基礎特論

    2008.4 - 2008.9   First semester

  • 電磁気学

    2008.4 - 2008.9   First semester

  • プラズマエレクトロニクス

    2008.4 - 2008.9   First semester

  • 電子デバイス工学演習第二

    2008.4 - 2008.9   First semester

  • 電気情報工学入門

    2008.4 - 2008.9   First semester

  • 電磁気学III

    2007.10 - 2008.3   Second semester

  • 電子デバイス工学演習第三

    2007.10 - 2008.3   Second semester

  • 電子デバイス工学演習第一

    2007.10 - 2008.3   Second semester

  • 電気情報工学卒業研究

    2007.4 - 2008.3   Full year

  • 電子デバイス工学特別研究

    2007.4 - 2008.3   Full year

  • 電気情報工学入門

    2007.4 - 2007.9   First semester

  • 電磁気学

    2007.4 - 2007.9   First semester

  • 電子デバイス工学演習第二

    2007.4 - 2007.9   First semester

  • プラズマプロセス基礎特論

    2007.4 - 2007.9   First semester

  • プラズマエレクトロニクス

    2007.4 - 2007.9   First semester

  • 電子デバイス工学演習第三

    2006.10 - 2007.3   Second semester

  • 電磁気学III

    2006.10 - 2007.3   Second semester

  • 電気情報工学実験II

    2006.10 - 2007.3   Second semester

  • 電気情報工学基礎実験

    2006.10 - 2007.3   Second semester

  • 電子デバイス工学演習第一

    2006.10 - 2007.3   Second semester

  • 電子デバイス工学特別研究

    2006.4 - 2007.3   Full year

  • 電気情報工学卒業研究

    2006.4 - 2007.3   Full year

  • 電気情報工学入門

    2006.4 - 2006.9   First semester

  • プラズマプロセス基礎特論

    2006.4 - 2006.9   First semester

  • 電子デバイス工学演習第二

    2006.4 - 2006.9   First semester

  • プラズマエレクトロニクス

    2006.4 - 2006.9   First semester

  • プラズマプロセス特論

    2003.10 - 2004.3   Second semester

  • 電気情報工学実験II

    2003.10 - 2004.3   Second semester

  • 電気情報工学基礎実験

    2003.10 - 2004.3   Second semester

  • ナノ集積システム工学演習

    2003.10 - 2004.3   Second semester

  • 電子デバイス工学演習第一

    2003.10 - 2004.3   Second semester

  • 電子デバイス工学特別研究

    2003.4 - 2004.3   Full year

  • 電気情報工学卒業研究

    2003.4 - 2004.3   Full year

  • 電気情報数学

    2003.4 - 2003.9   First semester

  • 高電圧・パルスパワー工学

    2003.4 - 2003.9   First semester

▼display all

FD Participation

  • 2023.3   Role:Speech   Title:【シス情FD】独・蘭・台湾での産学連携を垣間見る-Industy 4.0・量子コンピューティング・先端半導体-

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2023.1   Role:Participation   Title:【シス情FD】若手教員による研究紹介⑦

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2022.10   Role:Participation   Title:【シス情FD】若手教員による研究紹介⑥

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2022.9   Role:Participation   Title:【シス情FD】研究機器の共用に向けて

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2022.7   Role:Participation   Title:【シス情FD】若手教員による研究紹介⑤

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2022.6   Role:Participation   Title:【シス情FD】電子ジャーナル等の今後について

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2022.5   Role:Participation   Title:【シス情FD】若手教員による研究紹介④「量子コンピュータ・システム・アーキテクチャの研究~道具になることを目指して~」

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2022.4   Role:Participation   Title:【シス情FD】第4期中期目標・中期計画等について

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2022.1   Role:Participation   Title:【シス情FD】シス情関連の科学技術に対する国の政策動向(に関する私見)

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2021.12   Role:Participation   Title:【シス情FD】企業出身教員から見た大学

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2021.11   Role:Participation   Title:【シス情FD】若手教員による研究紹介 及び 研究費獲得のポイント等について③

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2021.10   Role:Participation   Title:【シス情FD】熊本高専と九大システム情報との交流・連携に向けて ー 3年半で感じた高専の実像 ー

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2021.9   Role:Participation   Title:博士後期課程の充足率向上に向けて

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2021.9   Role:Participation   Title:M2B学習支援システム講習会★初級・中上級編★

    Organizer:University-wide

  • 2021.9   Role:Participation   Title:With/Postコロナ時代の保健学実習・講義のあり方

    Organizer:Undergraduate school department

  • 2021.6   Role:Participation   Title:若手教員による研究紹介 及び 科研取得のポイントについて ①

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2021.5   Role:Participation   Title:先導的人材育成フェローシップ事業(情報・AI分野)について

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2020.12   Role:Participation   Title:令和2年度 第2回工学部FD(1日目) 総合型選抜の実施に向けて―面接の全般的な内容(注意事項、採点方法など)

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2020.12   Role:Moderator   Title:Moodle&MS Teams連携によるオンライン講義実施報告(Youtube Prezi Powerpoint Wolframcloud そして TeX)

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2020.12   Role:Participation   Title:UQ-KU Education Forum 2020 (Day 1) −Teaching effectively in the time of COVID-19−

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2020.12   Role:Participation   Title:UQ-KU Education Forum 2020 (Day 2) −Teaching effectively in the time of COVID-19−

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2020.11   Role:Moderator   Title:マス・フォア・イノベーション卓越大学院について

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2020.10   Role:Speech   Title:2020年度 ユニバーシティ・デザイン・ワークショップの報告

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2020.9   Role:Moderator   Title:電気情報工学科総合型選抜(AO入試)について

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2019.10   Role:Moderator   Title:電子ジャーナルの現状と今後の動向に関する説明会

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2019.6   Role:Moderator   Title:8大学情報系研究科長会議の報告

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2019.2   Role:Participation   Title:システム情報科学府 FD【分子システムデバイスリーディングコースにおける教育成果とダ・ヴィンチコースの新設】

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2018.11   Role:Participation   Title:工学府 FD【ハラスメント防止研修】

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2018.9   Role:Moderator   Title:システム情報科学府 FD【九州能開大の教育システムのご紹介】

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2018.7   Role:Moderator   Title:システム情報科学府 FD【論文剽窃ソフトの活用方法について】

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2017.6   Role:Participation   Title:平成29年度第2回全学FD 教育の質向上支援プログラム成果発表会

    Organizer:University-wide

  • 2016.10   Role:Participation   Title:平成28年度 工学研究院・システム情報科学研究院 合同ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2016.3   Role:Participation   Title:平成27年度 システム情報科学学府 ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2016.1   Role:Participation   Title:平成27年度 第2回工学部・工学府 ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2015.11   Role:Participation   Title:2015年度システム情報科学研究院・学府 ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2015.7   Role:Participation   Title:2015年度システム情報科学研究院・学府 ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2013.12   Role:Participation   Title:2013年度システム情報科学研究院・学府第5回ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2013.8   Role:Participation   Title:2013年度システム情報科学研究院・学府第2回ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2013.4   Role:Participation   Title:2013年度システム情報科学研究院・学府第1回ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2013.3   Role:Participation   Title:2012年度システム情報科学研究院・学府第2回ファカルティデベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2012.3   Role:Participation   Title:九州大学工学部・工学府ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2011.12   Role:Participation   Title:九州大学大学院システム情報科学研究院・工学研究院合同ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2010.11   Role:Participation   Title:九州大学大学院システム情報科学府第9回ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2009.7   Role:Participation   Title:九州大学大学院システム情報科学府第8回ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2008.3   Role:Participation   Title:九州大学大学院システム情報科学府第7回ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2007.9   Role:Participation   Title:平成19年度第2回九州大学ファカルティ・デベロップメント

    Organizer:University-wide

  • 2007.2   Role:Participation   Title:九州大学大学院システム情報科学府第6回ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2006.2   Role:Participation   Title:九州大学大学院システム情報科学府第5回ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2005.2   Role:Participation   Title:九州大学大学院システム情報科学府第4回ファアルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2004.2   Role:Participation   Title:九州大学大学院システム情報科学府第3回ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2003.2   Role:Participation   Title:九州大学大学院システム情報科学府第2回ファカルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

  • 2002.2   Role:Participation   Title:九州大学大学院システム情報科学府第1回ファアルティ・デベロップメント

    Organizer:[Undergraduate school/graduate school/graduate faculty]

▼display all

Visiting, concurrent, or part-time lecturers at other universities, institutions, etc.

  • 2014  名古屋大学大学院工学研究科  Classification:Part-time lecturer  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:5月28日

  • 2014  大阪大学接合科学研究所招へい教授  Classification:Affiliate faculty  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:5月28日

  • 2012  名古屋大学大学院工学研究科  Classification:Part-time lecturer  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:6月6日

  • 2010  School of Materials Science and Engineering/ Sungkyunkwan University  Classification:Intensive course  Domestic/International Classification:Overseas 

    Semester, Day Time or Duration:8月23日〜8月25日

  • 2008  名古屋大学大学院工学研究科  Classification:Part-time lecturer  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:前期

  • 2007  東京大学大学院新領域創成科  Classification:Part-time lecturer  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:後期

  • 2006  東北大学流体科学研究所  Classification:Affiliate faculty  Domestic/International Classification:Japan 

    Semester, Day Time or Duration:5月〜9月

▼display all

Teaching Student Awards

  • 電気学会九州支部長賞

    Year and month of award:2025.3

    Classification of award-winning students:Postgraduate student   Name of award-winning student:山本祐馬

      More details

Other educational activity and Special note

  • 2019  Special Affairs  トルコ,Istanbul Technical University より学部生1名を1週間,IAESTEのインターンシップとして受け入れ教育を行った.

     詳細を見る

    トルコ,Istanbul Technical University より学部生1名を1週間,IAESTEのインターンシップとして受け入れ教育を行った.

  • 2010  Special Affairs  韓国,Pusan National University より学部生9名を1ヶ月の間,Internshipとして受け入れ教育を行った.

     詳細を見る

    韓国,Pusan National University より学部生9名を1ヶ月の間,Internshipとして受け入れ教育を行った.

  • 2008  Special Affairs  組織的な大学院教育改革推進プログラムに副代表として「5つの力をもつシンセシス型博士人材の育成」を推進

     詳細を見る

    組織的な大学院教育改革推進プログラムに副代表として「5つの力をもつシンセシス型博士人材の育成」を推進

  • 2001  Class Teacher  学部

  • 2000  Class Teacher  学部

  • 1999  Class Teacher  学部

▼display all

Outline of Social Contribution and International Cooperation activities

  • 1)産業界との共同研究として1993-1997年度にわたってリオン株式会社プロセスプラズマ中のパーティクル計測技術の開発を行った.
    2)1994年度に,全国の大学院生,及び社会人を対象とした,プラズマエレクトロニクスサマースクールの企画運営に携わるとともに,講義を行った.
    3)企業等からの各種技術的な相談に応じている.
    4)大学及び企業の若手研究者を育てるために設立したフロンティアプロセスと称する新しい研究会の企画運営に参加している.

Social Activities

  • 福岡県高等学校物理教員研修会:最先端プラズマ技術

    九州大学伊都キャンパス  2010.11

     More details

    Audience:Infants, Schoolchildren, Junior students, High school students

    Type:Seminar, workshop

  • 福岡県高等学校物理教員研修会:最先端プラズマ技術

    九州大学伊都キャンパス  2010.11

     More details

    Type:Seminar, workshop

    researchmap

  • 最先端プラズマ技術で作る太陽電池

    応用物理学会,プラズマ・核融合学会  アイレフ  2010.7

     More details

    Audience:General, Scientific, Company, Civic organization, Governmental agency

    Type:Lecture

  • リフレッシュ理科教室

    応用物理学会,福岡市立少年科学文化会館  福岡市立少年科学文化会館  2010.7

     More details

    Audience:General, Scientific, Company, Civic organization, Governmental agency

    Type:Other

  • 第14回リフレッシュ理科教室 最先端プラズマ技術でつくる太陽電池

    福岡市立少年科学文化会館  2010.7

     More details

    Audience:Infants, Schoolchildren, Junior students, High school students

    Type:Seminar, workshop

  • リフレッシュ理科教室

    応用物理学会,福岡市立少年科学文化会館  福岡市立少年科学文化会館  2010.7

     More details

    Type:Other

    researchmap

  • 第14回リフレッシュ理科教室 最先端プラズマ技術でつくる太陽電池

    福岡市立少年科学文化会館  2010.7

     More details

    Type:Seminar, workshop

    researchmap

  • 最先端プラズマ技術で作る太陽電池

    応用物理学会,プラズマ・核融合学会  アイレフ  2010.7

     More details

    Type:Visiting lecture

    researchmap

  • 高校出前授業

    大分県立臼杵高校  2008.7

     More details

    Audience:Infants, Schoolchildren, Junior students, High school students

    Type:Seminar, workshop

  • 高校出前授業

    大分県立臼杵高校  2008.7

     More details

    Type:Seminar, workshop

    researchmap

  • いとしまサイエンスキャラバン

    九州大学  二丈町役場  2008.2

     More details

    Audience:General, Scientific, Company, Civic organization, Governmental agency

    Type:Lecture

  • いとしまサイエンスキャラバン

    九州大学  二丈町役場  2008.2

     More details

    Type:Visiting lecture

    researchmap

  • リフレッシュ理科教室

    応用物理学会,福岡市立少年科学文化会館  福岡市立少年科学文化会館  2007.7

     More details

    Audience:General, Scientific, Company, Civic organization, Governmental agency

    Type:Other

  • リフレッシュ理科教室

    応用物理学会,福岡市立少年科学文化会館  福岡市立少年科学文化会館  2007.7

     More details

    Type:Other

    researchmap

  • リフレッシュ理科教室

    応用物理学会,福岡市立少年科学文化会館  福岡市立少年科学文化会館  2005.7

     More details

    Audience:General, Scientific, Company, Civic organization, Governmental agency

    Type:Other

  • リフレッシュ理科教室

    応用物理学会,福岡市立少年科学文化会館  福岡市立少年科学文化会館  2005.7

     More details

    Type:Other

    researchmap

  • 科学と生活のフェスティバル

    応用物理学会  名古屋市科学館  2001.6

     More details

    Audience:General, Scientific, Company, Civic organization, Governmental agency

    Type:Other

  • 科学と生活のフェスティバル

    応用物理学会  名古屋市科学館  2001.6

     More details

    Type:Other

    researchmap

▼display all

Educational Activities for Highly-Specialized Professionals in Other Countries

  • 2006.4 - 2007.7   九州大学フレンドシップ奨学金奨学生の受け入れ

    Main countries of student/trainee affiliation:France

  • 2005.4 - 2005.7   九州大学フレンドシップ奨学金奨学生の受け入れ

    Main countries of student/trainee affiliation:France

Acceptance of Foreign Researchers, etc.

  • University of Kiel

    Acceptance period: 2017.2 - 2017.5   (Period):1 month or more

    Nationality:Germany

    Business entity:On-campus funds

  • University of Kiel

    Acceptance period: 2017.2   (Period):Less than 2 weeks

    Nationality:Germany

    Business entity:On-campus funds

  • Sungkyunkwan University(Korea)

    Acceptance period: 2016.11   (Period):Less than 2 weeks

    Nationality:Korea, Republic of

    Business entity:Other

  • California State University, Fresno

    Acceptance period: 2016.5 - 2016.7   (Period):1 month or more

    Nationality:United States

    Business entity:On-campus funds

  • Kwangwoon University

    Acceptance period: 2016.1 - 2016.11   (Period):1 month or more

    Nationality:India

    Business entity:Japan Society for the Promotion of Science

  • University of Kiel

    Acceptance period: 2015.9   (Period):Less than 2 weeks

    Nationality:Germany

    Business entity:On-campus funds

  • Sungkyunkwan University(Korea)

    Acceptance period: 2015.1   (Period):Less than 2 weeks

    Nationality:Korea, Republic of

    Business entity:On-campus funds

  • University of Kiel

    Acceptance period: 2014.8   (Period):Less than 2 weeks

    Nationality:Germany

    Business entity:On-campus funds

  • Kwangwoon University(Korea)

    Acceptance period: 2014.7 - 2014.8   (Period):1 month or more

    Nationality:Korea, Republic of

    Business entity:On-campus funds

  • Indian Institute of Technology Madras

    Acceptance period: 2014.3   (Period):2weeks to less than 1 month

    Nationality:India

    Business entity:Japan Society for the Promotion of Science

  • University of Kiel

    Acceptance period: 2011.8 - 2011.9   (Period):2weeks to less than 1 month

    Nationality:Germany

    Business entity:On-campus funds

  • Université Joseph Fourier

    Acceptance period: 2010.11 - 2010.12   (Period):Less than 2 weeks

    Nationality:France

    Business entity:On-campus funds

  • Sungkyunkwan University(Korea)

    Acceptance period: 2010.8   (Period):Less than 2 weeks

    Nationality:Korea, Republic of

    Business entity:Ministry of education

  • オルレアン大学(フランス)

    Acceptance period: 2004.7 - 2004.8   (Period):1 month or more

    Nationality:Algeria

    Business entity:On-campus funds

  • Universidade Federal do Parana(ブラジル)

    Acceptance period: 1998.1 - 1998.3   (Period):Less than 2 weeks

    Nationality:Russian Federation

    Business entity:Japan Society for the Promotion of Science

  • インド国立プラズマ研究所

    Acceptance period: 1994.9 - 1996.10   (Period):1 month or more

    Nationality:India

    Business entity:Japan Society for the Promotion of Science

▼display all

Travel Abroad

  • 2007.3

    Staying countory name 1:Other   Staying institution name 1:Institute of Physics, Belgrade

  • 2006.7

    Staying countory name 1:United States   Staying institution name 1:Mount Holyoke College

  • 1996.3 - 1997.1

    Staying countory name 1:France   Staying institution name 1:PRIAM/ONERA