2025/03/14 更新

お知らせ

 

写真a

コンドウ ヒロキ
近藤 博基
KONDO HIROKI
所属
システム情報科学研究院 情報エレクトロニクス部門 教授
プラズマナノ界面工学センター (併任)
工学部 電気情報工学科(併任)
システム情報科学府 電気電子工学専攻(併任)
職名
教授
連絡先
メールアドレス
電話番号
0928023628
プロフィール
岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」(2023年6月22日) 岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」(2024年7月25日)
ホームページ

学位

  • 博士(工学)

経歴

  • 名古屋大学 低温プラズマ科学研究センター プラズマ科学部門 准教授 

    2019年4月 - 2023年10月

      詳細を見る

  • 名古屋大学大学院工学研究科 准教授  准教授 

    2011年10月 - 2023年10月

      詳細を見る

    国名:日本国

    researchmap

  • 名古屋大学 低温プラズマ科学研究センター プラズマ科学部門 客員教授 

    2024年1月 - 現在

      詳細を見る

  • 名古屋大学 大学院工学研究科 結晶材料工学専攻 助手 

    2007年3月

      詳細を見る

  • 富士通株式会社・富士通研究所   

    富士通株式会社・富士通研究所

  • 名古屋大学   

▼全件表示

研究テーマ・研究キーワード

  • 研究テーマ: ナノシートエレクトロニクスにおける信頼性物理〜原子・分子から観るデバイス劣化機構と寿命予測〜

    研究キーワード: ナノエレクトロニクス,原子間力顕微鏡,走査プローブ顕微鏡,硬X線光電子分光,オペランド解析

    研究期間: 1999年4月

  • 研究テーマ: 次世代ナノエレクトロニクスに資する先進ナノ・プラズマプロセス技術の研究開発

    研究キーワード: ナノエレクトロニクス、プラズマプロセス、原子層堆積、原子層エッチング、High-k/メタルゲートスタック

    研究期間: 1993年4月

論文

  • Analysis of the synergetic effect of process parameters of hydrogenated amorphous carbon deposition in plasma-enhanced chemical vapor deposition using machine learning

    Ando, Y; Kondo, H; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    DIAMOND AND RELATED MATERIALS   151   2025年1月   ISSN:0925-9635 eISSN:1879-0062

     詳細を見る

    出版者・発行元:Diamond and Related Materials  

    The synergetic effects of process parameters on hydrogenated amorphous carbon (a-C:H) films properties were quantitatively analyzed in plasma-enhanced chemical vapor deposition. Predictive models, created from experimental datasets and machine learning, indicate a synergetic effect between the H2 ratio and ion energy impacting on the substrate. At a H2 ratio of 75 %, etch rates of 200 nm/min were predicted, regardless of radio frequency (RF) bias. These rates decreased to 120–140 nm/min at lower H2 ratios, primarily depending on RF bias. Quadrupole mass spectrometry and Raman scattering were used to investigate the underlying mechanisms. High H2 ratios led to a greater presence of low-mass hydrogen-rich molecules in the plasma. The C2H3 radical intensity at a 75 % H2 ratio was 15 times greater than that at 0 % at an RF bias of 50 W, and similar trends were observed for other low-mass neutrals. The synergetic effects of H2 ratio and RF bias decreased the film's hydrogen content. Machine learning and those diagnostics revealed that ion bombardment induces dehydrogenation of a-C:H, influenced by hydrogen-rich species. This study demonstrates that machine learning can uncover complex plasma processes and optimize material synthesis.

    DOI: 10.1016/j.diamond.2024.111687

    Web of Science

    Scopus

  • <i>In situ</i> atom-resolved observation of Si (111) 7x7 surface with F radical and Ar ion irradiation simulated atomic layer etching

    Tsutsumi, T; Asano, A; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   42 ( 3 )   2024年5月   ISSN:0734-2101 eISSN:1520-8559

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    Ar ions with controlled energy and doses, along with radicals in CF4 plasma, were irradiated separately onto a Si (111) 7 × 7 surface by using a plasma beam reactor. The crystal structure and the chemical bonds on the surface were analyzed by scanning tunneling microscopy, atomic force microscopy, and x-ray photoelectron spectroscopy without air exposure of the samples. The SiF layer formed by F radical irradiation was completely removed by a desorption reaction induced by heating at 600 °C, resulting in an atomically flat surface that maintained the crystal structure. The 7 × 7 structure, which was reconstructed by each irradiation process alone, was not reconstructed because of the synergistic effects of F radicals and Ar ions in the cyclic CF4/Ar plasma used to simulate an atomic layer etching process. The cyclic CF4/Ar plasma process inhibited the reconstruction of the crystal structure by forming a mixture region that included Si, F, and C atoms.

    DOI: 10.1116/6.0003432

    Web of Science

    Scopus

    researchmap

  • Hydrogen peroxide sensing with nitrogen-doped carbon nanowalls 査読 国際誌

    Rakhymzhan Ye. Zhumadilov, Yerassyl Yerlanuly, Hiroki Kondo, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Sensing and Bio-Sensing Research   43   100614   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1111/odi.14827

  • Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma 査読 国際誌

    Dela Vega, MSDC, Nguyen, TTN, Kondo, H, Tsutsumi, T, Ishikawa, K, Hori, M

    PLASMA PROCESSES AND POLYMERS   20 ( 11 )   2300036   2023年8月   ISSN:1612-8850 eISSN:1612-8869

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202300036

    Web of Science

    researchmap

  • Cancer-specific cytotoxicity of Ringer’s acetate solution irradiated by cold atmospheric pressure plasma 招待 査読 国際誌

    Camelia Miron, Kenji Ishikawa, Satoshi Kashiwagura, Yang Liu, Daiki Ito, Yuki Suda, Yugo Hosoi, Hiroshi Hashizume, Hiroki Kondo, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Masaru Hori

    Free Radical Research   57 ( 1 )   91 - 104   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2023.2201390

  • Creation of unique shapes by coordination of alumina nanopores and carbon nanowalls 査読 国際誌

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Ye. Alpysbayeva, Rakhymzhan Zhumadilov, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Fullerenes Nanotubes and Carbon Nanostructures   31 ( 4 )   295 - 301   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/1536383X.2022.2146672

  • Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds 査読 国際誌

    Koki Ono, Takashi Koide, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeno Yasuhara, Masaru Hori, Wakana Takeuchi

    Japanese Journal of Applied Physics   62 ( SA )   SA1017   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac9319

  • Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka, Masaru Hori

    Nanomaterials   13 ( 1 )   63 - 63   2022年12月   eISSN:2079-4991

     詳細を見る

    記述言語:その他   掲載種別:研究論文(学術雑誌)   出版者・発行元:MDPI AG  

    Surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) is performed using carbon nanowalls (CNWs) for ionization-assisting substrates. The CNWs (referred to as high-quality CNWs) in the present study were grown using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with the addition of oxygen in a mixture of CH4 and H2 gases. High-quality CNWs were different with respect to crystallinity and C–OH groups, while showing similar wall-to-wall distances and a wettability comparable to CNWs (referred to as normal CNWs) grown without O2. The efficiency of SALDI was tested with both parameters of ion intensity and fragmental efficiency (survival yield (SY)) using N-benzylpyridinuim chloride (N-BP-CI). At a laser fluence of 4 mJ/cm2, normal CNWs had an SY of 0.97 and an ion intensity of 0.13, while 5-sccm-O2– high-quality CNWs had an SY of 0.89 and an ion intensity of 2.55. As a result, the sensitivity for the detection of low-molecular-weight analytes was improved with the high-quality CNWs compared to the normal CNWs, while an SY of 0.89 was maintained at a low laser fluence of 4 mJ/cm2. SALDI-MS measurements available with the high-quality CNWs ionization-assisting substrate provided high ionization and SY values.

    DOI: 10.3390/nano13010063

    researchmap

  • Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis 査読 国際誌

    Kurokawa, J, Kondo, H, Tsutsumi, T, Ishikawa, K, Sekine, M, Hori, M

    VACUUM   205   111351   2022年11月   ISSN:0042-207X eISSN:1879-2715

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.vacuum.2022.111351

    Web of Science

    researchmap

  • Power Generation Characteristics of Polymer Electrolyte Fuel Cells Using Carbon Nanowalls as Catalyst Support Material

    Takayuki Ohta, Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    C   8 ( 3 )   44 - 44   2022年8月   eISSN:2311-5629

     詳細を見る

    記述言語:その他   掲載種別:研究論文(学術雑誌)   出版者・発行元:MDPI AG  

    We evaluated the power generation characteristics of a polymer electrolyte fuel cell (PEFC) composed of Pt-supported carbon nanowalls (CNWs) and a microporous layer (MPL) of carbon black on carbon paper (CP) as catalyst support materials. CNWs, standing vertically on highly crystallizing graphene sheets, were synthesized on an MPL/CP by plasma-enhanced chemical vapor deposition (PECVD) using inductively coupled plasma (ICP). Pt nanoparticles were supported on the CNW surface using the liquid-phase reduction method. The three types of voltage loss, namely those due to activated polarization, resistance polarization, and diffusion polarization, are discussed for the power generation characteristics of the PEFC using the Pt/CNWs/MPL/CP. The relationship between the height or gap area of the CNWs and the voltage loss of the PEFC is demonstrated, whereby the CNW height increased with the extension of growth time. The three-phase interface area increased with the increase in the CNW height, resulting in mitigation of the loss due to activated polarization. The gap area of the CNWs varied when changing the CH4/H2 gas ratio. The loss due to diffusion polarization was reduced by enlarging the gap area, due to the increased diffusion of fuel gas and discharge of water. The secondary growth of the CNWs caused the three-phase interface area to decrease as a result of platinum aggregation, impedance of the supply of ionomer dispersion solution to the bottom of the CNWs, and inhibition of fuel gas and water diffusion, which led to the loss of activated and diffuse polarizations. The voltage losses can be mitigated by increasing the height of CNWs while avoiding secondary growth.

    DOI: 10.3390/c8030044

    researchmap

  • In-liquid plasma synthesis of iron–nitrogen-doped carbon nanoflakes with high catalytic activity 査読 国際誌

    Hiroki Kondo, Ryo Hamaji, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Plasma Processes and Polymers   19 ( 8 )   2100203   2022年4月   ISSN:1612-8850 eISSN:1612-8869

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Flake-shaped carbon nanomaterials with nitrogen (N) and iron (Fe) addition, so-called carbon nanoflakes (CNFLs), were synthesized by the in-liquid plasma using two different kinds of additive agents, such as hemin and iron (II) phthalocyanine (FePc). According to scanning electron microscopy images and Raman spectra, CNFLs with a size of at least 100 nm order were formed regardless of types of additive agents, and crystallinity of six-membered ring structures was improved as additive agents increased. Photoelectron spectra showed that pyridinic N contents increased from 1.05% to 2.02% with increasing FePc, while those decreased from 0.34% to 0.14% with hemin. In the oxygen reduction reaction, onset potential values also increased from 0.71 to 0.79 eV with increasing FePc, while those decreased from 0.60 to 0.47 eV with hemin. These results suggested that the catalytic activity of CNFLs was effectively improved by the increase of pyridinic N by the in-liquid plasma synthesis with FePc. In contrast, the electron transfer numbers reached 3.81 when hemin increased, although those were less than 2.88 in the cases using FePc. These results mean that the in-liquid plasma synthesis method of CNFLs using FePc has the potential to further improve its catalytic activity.

    DOI: 10.1002/ppap.202100203

    Scopus

    researchmap

  • Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)

    Sakai Ryusei, Ichikawa Tomonori, Kondo Hiroki, Ishikawa Kenji, Shimizu Naohiro, Ohta Takayuki, Hiramatsu Mineo, Hori Masaru

    NANOMATERIALS   11 ( 2 )   1 - 11   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)
    Carbon nanowalls (CNWs), which are vertically oriented multi-layer graphene sheets, were employed in surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) measurements to detect low-molecular-weight organic compounds. CNWs substrates with widely different wall-to-wall distances from 142 to 467 nm were synthesized using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with nanosecond pulse biasing to a sample stage. When survival yield (SY) values of N-benzylpyridinium chloride (N-BP-Cl) were examined, which is commonly used to evaluate desorption/ionization efficiency, a narrower wall-to-wall distance presented a higher SY value. The highest SY value of 0.97 was realized at 4 mJ/cm(2) for the highest-density CNWs with a wall-to-wall distance of 142 nm. The laser desorption/ionization effect of arginine, an amino acid, was also investigated. When CNWs with a narrower wall-to-wall distance were used, the signal-to-noise (SN) ratios of the arginine signals were increased, while the intensity ratios of fragment ions to arginine signals were suppressed. Therefore, the CNWs nanostructures are a powerful tool when used as a SALDI substrate for the highly efficient desorption/ionization of low-molecular-weight biomolecules.

    DOI: 10.3390/nano11020262

  • Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature

    Sugiura, H., Kondo, H., Higuchi, K., Arai, S., Hamaji, R., Tsutsumi, T., Ishikawa, K., Hori, M.

    Carbon   170   93 - 99   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.carbon.2020.07.052

  • Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures

    Kim J

    IEEJ Transactions on Electrical and Electronic Engineering   15 ( 9 )   1281 - 1287   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures
    Using a microwave-excited plasma source based on a microstrip line, we have generated a nitrogen plasma jet at a moderate pressure in the range from 1 to 10 kPa. The densities of nitrogen (N) atoms produced by the plasma jet were measured with a vacuum ultraviolet absorption spectroscopy. The results show that the plasma jet is able to provide a high density of N atoms at least 4.5 x 10(14) cm(-3)at 1.5 kPa. The N atom densities vary widely with the change in gas flow rate and substrate placement. We expect that this plasma source will provide a high performance as an advanced N radical source in various applications. (c) 2020 Institute of Electrical Engineers of Japan. Published by Wiley Periodicals LLC.

    DOI: 10.1002/tee.23194

  • Synthesis of carbon nanowalls on the surface of nanoporous alumina membranes by RI-PECVD method

    Yerlanuly, Y., Christy, D., Van Nong, N., Kondo, H., Alpysbayeva, B., Nemkayeva, R., Kadyr, M., Ramazanov, T., Gabdullin, M., Batryshev, D., Hori, M.

    Applied Surface Science   523   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2020.146533

  • In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ions and Cl radicals

    Hasegawa, M., Tsutsumi, T., Tanide, A., Nakamura, S., Kondo, H., Ishikawa, K., Sekine, M., Hori, M.

    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films   38 ( 4 )   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0000124

  • In-plane modification of hexagonal boron nitride particles via plasma in solution

    Tsuyohito Ito, Taku Goto, Kenichi Inoue, Kenji Ishikawa, Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta, Kazuo Terashima

    Applied Physics Express   13 ( 6 )   2020年6月

     詳細を見る

    記述言語:その他   掲載種別:研究論文(学術雑誌)  

    © 2020 The Japan Society of Applied Physics. In-plane modification of hexagonal boron nitride (hBN) is demonstrated via plasma in solution without acid/base addition. Electron spin resonance spectroscopy clearly reveals an increase in nitrogen vacancies in the hBN plane. This could be a simple route to functionalizing the two-dimensional surface of hBN. Simultaneously, hydroxylation occurs, accompanied by an increase in the zeta potential.

    DOI: 10.35848/1882-0786/ab916c

  • Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp(2) carbon structures

    Sugiura Hirotsugu, Ohashi Yasuyuki, Ishikawa Kenji, Kondo Hiroki, Kato Toshiaki, Kaneko Toshiro, Takeda Keigo, Tsutsumi Takayoshi, Hayashi Toshio, Sekine Makoto, Hori Masaru

    DIAMOND AND RELATED MATERIALS   104   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures
    Hydrogenated amorphous carbon (a-C:H) films comprise nanoclustering graphites (nc-G), fused aromatic rings (nR), and olefinic chain clusters (nC) of sp(2)-bonded carbons in an sp a matrix. In this study, the sp(2) composition of the nc-G, nR and nC in a-C:H films is found to be determined by the ion bombardment energy flux (Gamma(Ei)), which can be estimated as the product of ion bombardment energy and ion flux onto the deposited surface, in plasma-enhanced chemical vapor deposition using a plasma mixture of H-2 and CH4 gases with the H radical injection method. The sp(2) composition is analyzed using Raman spectroscopy and near-edge X-ray absorption structure spectroscopy. a-C:H becomes increasingly graphitized with increasing Gamma(Ei). The precise control of the sp(2) C structure composition can be achieved by controlling the very-high-frequency input power and radio frequency input bias power via the ion flux and ion bombardment energy.

    DOI: 10.1016/j.diamond.2019.107651

  • In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol 査読

    Atsushi Ando, Kenji Ishikawa, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, Masaru Hori

    ChemNanoMat   6 ( 4 )   604 - 609   2020年4月

     詳細を見る

    記述言語:その他   掲載種別:研究論文(学術雑誌)  

    © 2019 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim Nanometer-size graphene sheets (nanographene) were synthesized by the in-liquid plasma method employing a mixture of alcohols. Pure methanol in-liquid plasma was found to not synthesize any nanographene. Pure ethanol synthesized nanographene with high crystallinity. Highly crystalline nanographene with a narrow full width-half maximum of the Raman scattering G-band (FWHMG) was obtained by mixing 1-butanol with methanol. This is due to the formation of carbon ring structures being inhibited by the addition of methanol. The ratio of added methanol determined the nanographene crystallinity and yield under a trade-off relationship, allowing the crystallinity and nanographene yield to be controlled by controlling the ratio. Gas chromatography-mass spectrometric analysis of by-products in the liquids’ supernatant showed that the crystallinity of the synthesized nanographene correlated with the ratio of carbon over oxygen of the alcohol precursors (C/O), i. e., the amount of hydroxyl groups in the liquids, and hence controlling the C/O ratio can be used to control the graphene crystallinity in the in-liquid plasma synthesis.

    DOI: 10.1002/cnma.201900676

  • N-Graphene Nanowalls via Plasma Nitrogen Incorporation and Substitution: The Experimental Evidence

    M. Santhosh, N., Filipi?, G., Kovacevic, E., Jagodar, A., Berndt, J., Strunskus, T., Kondo, H., Hori, M., Tatarova, E., Cvelbar, U.

    Nano-Micro Letters   12 ( 1 )   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s40820-020-0395-5

  • Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study

    Fukunaga, Y., Longo, R.C., Ventzek, P.L.G., Lane, B., Ranjan, A., Hwang, G.S., Hartmann, G., Tsutsumi, T., Ishikawa, K., Kondo, H., Sekine, M., Hori, M.

    Journal of Applied Physics   127 ( 2 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5127863

  • Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate

    Isobe, Y., Sakai, T., Suguro, K., Miyashita, N., Kondo, H., Ishikawa, K., Wilson, A.F., Shimizu, N., Oda, O., Sekine, M., Hori, M.

    Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics   37 ( 6 )   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5114831

  • Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions 査読 国際誌

    Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    SCIENTIFIC REPORTS   9 ( 1 )   13657 - 13657   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions
    Non-thermal atmospheric pressure plasma has been widely used for preclinical studies in areas such as wound healing, blood coagulation, and cancer therapy. We previously developed plasma-activated medium (PAM) and plasma-activated Ringer's lactate solutions (PAL) for cancer treatments. Many in vitro and in vivo experiments demonstrated that both PAM and PAL exhibit anti-tumor effects in several types of cancer cells such as ovarian, gastric, and pancreatic cancer cells as well as glioblastoma cells. However, interestingly, PAM induces more intracellular reactive oxygen species in glioblastoma cells than PAL. To investigate the differences in intracellular molecular mechanisms of the effects of PAM and PAL in glioblastoma cells, we measured gene expression levels of antioxidant genes such as CAT, SOD2, and GPX1. Microarray and quantitative real-time PCR analyses revealed that PAM elevated stress-inducible genes that induce apoptosis such as GADD45α signaling molecules. PAL suppressed genes downstream of the survival and proliferation signaling network such as YAP/TEAD signaling molecules. These data reveal that PAM and PAL induce apoptosis in glioblastoma cells by different intracellular molecular mechanisms.

    DOI: 10.1038/s41598-019-50136-w

  • Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) 査読

    Takayuki Ohta, Hironori Ito, Kenji Ishikawa, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    Special Issue "Plasma Processing for Carbon-based Materials   5 ( 3 )   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS)
    Carbon nanowalls (CNWs), vertically standing highly crystallizing graphene sheets, were used in the application of a surface-assisted laser desorption/ionization time-of-flight mass spectrometry (SALDI-TOF-MS). The CNW substrates solved the issues on interferences of matrix molecules and alkali metal addition ions in low-weight molecule detection. Before SALDI sample preparations, the hydrophobic CNW was treated by atmospheric pressure plasma for exposing hydrophilicity to the CNWs' surface. Detection of water soluble amino acids, arginine, was demonstrated.

    DOI: 10.3390/c5030040

  • Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation 査読

    Ichikawa, T., Kondo, H., Ishikawa, K., Tsutsumi, T., Tanaka, H., Sekine, M., Hori, M.

    ACS Applied Bio Materials   2 ( 7 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsabm.9b00178

  • Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy 査読

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Kenji Ishikawa, Hiromasa Tanaka, Masaru Hori

    Applied Physics Express   12 ( 6 )   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy
    The effects of plasma-activated Ringer's lactate solution (PAL) on supported lipid bilayers (SLBs) as a cell membrane model were investigated. PAL has selective killing effects on cancer cells. High-speed atomic force microscopy revealed alterations in the morphological dynamics of SLBs in PAL. SLB islands decreased approximately 20-fold faster in the presence of PAL compared to Ringer's lactate solution without plasma treatment. The effect of plasma treatment on the Ringer's lactate solution produced PAL components that account for the observed effects on cell membranes, such as removal of lipid molecules from the edges of SLBs and the following SLBs shrinkage. (C) 2019 The Japan Society of Applied Physics

    DOI: 10.7567/1882-0786/ab1a58

  • A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome

    Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   13 ( 2 )   470-479 - 479   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome

    DOI: 10.1109/TBCAS.2018.2882472

  • Facile synthesis of SnO <inf>2</inf> -graphene composites employing nonthermal plasma and SnO <inf>2</inf> nanoparticles-dispersed ethanol

    Borude, R.R., Sugiura, H., Ishikawa, K., Tsutsumi, T., Kondo, H., Hori, M.

    Journal of Physics D: Applied Physics   15 ( 17 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ab03c4

  • Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition 査読

    Isobe, Y., Sakai, T., Sugiyama, N., Mizushima, I., Suguro, K., Miyashita, N., Lu, Y., Wilson, A.F., Kumar, D.A., Ikarashi, N., Kondo, H., Ishikawa, K., Shimizu, N., Oda, O., Sekine, M., Hori, M.

    Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics   37 ( 3 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5083970

  • Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 ( 10 )   105503 - 105503   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    DOI: 10.1088/1361-6463/aaf8e0

  • Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition 査読

    Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   58 ( 3 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition
    Amorphous carbon (a-C) thin films were deposited under the control of C-2 radical density using radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) with CH4/H-2 plasma. By actinometric monitoring of C-2 emission intensities, the plasma parameters were precisely controlled by changing in CH4-containing plasma excitation power independent of H-2 plasma excitation. The control of the incorporation of sp2-C clusters in the a-C films during the a-C film depositions is demonstrated by tailoring Raman positions vs. full widths at half maxima for the G band around 1580 cm(-1) to the RI-PECVD parameters. (C) 2019 The Japan Society of Applied Physics

    DOI: 10.7567/1347-4065/aafd49

  • Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries 査読

    Borude, R.R., Sugiura, H., Ishikawa, K., Tsutsumi, T., Kondo, H., Ikarashi, N., Hori, M.

    ACS Applied Nano Materials   2 ( 2 )   649-654   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsanm.8b02201

  • Real-time control of a wafer temperature for uniform plasma process

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing (ISSM)   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Real-time control of a wafer temperature for uniform plasma process

    DOI: 10.1109/ISSM.2018.8651183

  • Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system

    Fukunaga, Y., Tsutsumi, T., Kondo, H., Ishikawa, K., Sekine, M., Hori, M.

    Japanese Journal of Applied Physics   58 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaf92a

  • Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds 査読

    Ichikawa, T., Tanaka, S., Kondo, H., Ishikawa, K., Tsutsumi, T., Sekine, M., Hori, M.

    Applied Physics Express   12 ( 2 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/aaf469

  • Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas 査読

    Zhang, Y., Ishikawa, K., Mozeti?, M., Tsutsumi, T., Kondo, H., Sekine, M., Hori, M.

    Plasma Processes and Polymers   16 ( 6 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201800175

  • Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma 査読

    Borude, R.R., Sugiura, H., Ishikawa, K., Tsutsumi, T., Kondo, H., Han, J.G., Hori, M.

    Japanese Journal of Applied Physics   58 ( SA )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaec87

  • Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition 査読

    Masakazu Tomatsu, Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Journal of Carbon Research   5 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition

    DOI: 10.3390/c5010007

  • Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 査読

    Hirotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    C-Journal of Carbon Research   5 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition

    DOI: 10.3390/c5010008

  • Liquid dynamics in response to an impinging low-temperature plasma jet 査読

    Timothy R. Brubaker, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, Masaru Hori

    Journal of Physics D: Applied Physics   52 ( 7 )   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Liquid dynamics in response to an impinging low-temperature plasma jet

    DOI: 10.1088/1361-6463/aaf460

  • Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition 査読

    Shun Imai, Hiroki Kondo, Cho Hyungjun, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Applied Physics Express   12 ( 1 )   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition
    The electrochemical durability of Pt nanoparticles-supported carbon nanowalls (Pt/CNWs) determined from potential cycle tests was 88&#37; performance after 20 000 cycles and 50&#37; performance around 140 000 cycles when the CNWs were fabricated by the C2F6/H-2 plasma-enhanced chemical vapor deposition system (C2F6-CNWs). Even after the extended start/stop-simulation tests of fuel cell Pt/C2F6-CNWs, Pt was aggregated; however, the morphological structure of the CNWs was maintained and no corrosion was evident from scanning electron microscopy observations and Raman analysis. For graphene-based catalyst supports, i.e., the Pt/C2F6-CNWs, graphene crystallinity is essential to extend electrochemical durability by inhibiting corrosion during fuel cell operation. (C) 2018 The Japan Society of Applied Physics

    DOI: 10.7567/1882-0786/aaf0ab

  • Effect of N<inf>2</inf>/H<inf>2</inf> plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) 査読

    Amalraj, F.W., Dhasiyan, A.K., Lu, Y., Shimizu, N., Oda, O., Ishikawa, K., Kondo, H., Sekine, M., Ikarashi, N., Hori, M.

    AIP Advances   8 ( 11 )   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5050819

  • Oriented carbon nanostructures by Plasma processing: Recent advances and future challenges

    Santhosh, N.M., Filipi?, G., Tatarova, E., Baranov, O., Kondo, H., Sekine, M., Hori, M., (Ken) Ostrikov, K., Cvelbar, U.

    Micromachines   9 ( 11 )   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/mi9110565

  • Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water

    DOI: 10.1109/PLASMA.2017.8496057

  • Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching 査読

    Zhang, Y., Imamura, M., Ishikawa, K., Tsutsumi, T., Kondo, H., Sekine, M., Hori, M.

    Japanese Journal of Applied Physics   57 ( 10 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.106502

  • Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H2 at 300-500°C 査読

    Takashi Kako, Zecheng Liu, Kenji Ishikawa, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    Vacuum   156   219-223   2018年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH<inf>4</inf>/H<inf>2</inf> at 300–500 °C

    DOI: 10.1016/j.vacuum.2018.07.040

  • Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons 査読

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   57 ( 2 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Graphenes of nanometer-scale grain size (nanographenes) were synthesized using in-liquid plasmas with alcohols or hydrocarbons. This method of nanographene synthesis showed a trade-off relationship between crystallinity and synthesis rate. The high crystallinity of nanographenes synthesized with alcohols was evaluated from the small full width at half maxima (FWHM) of the G band in Raman scattering spectra. On the other hand, in the case of using hydrocarbons such as n-hexane and benzene, a significantly high synthesis rate was obtained but the crystallinity of nanographenes was low. It was found that hydroxyl groups and oxygen atoms of liquid sources play important roles in determining the crystallinity of synthesized nanographenes.

    DOI: 10.7567/JJAP.57.026201

  • Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol 査読

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   11 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Giant graphene flakes on the micron scale were synthesized and grown in plasmas in liquid-phase pure ethanol with added iron phthalocyanine (FePc) in a solvent. At atmospheric pressure, plasmas were generated in the gas phase filled with Ar and in the liquid phases comprising bubbles and liquid solutions. In the mixture of FePc in ethanol, nanographene sheets aggregated to form giant graphene flakes, as confirmed by the D, G, and 2D bands in the corresponding Raman spectra. Therefore, a bottom-up approach of graphite synthesis from pure ethanol with additives and a catalyst was realized by in-liquid plasma processing.

    DOI: 10.7567/APEX.11.015102

  • Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy 査読

    Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   122 ( 21 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The liquid-phase chemical kinetics of a cell culture basal medium during treatment by an argonfed, non-equilibrium atmospheric-pressure plasma source were investigated using real-time ultraviolet absorption spectroscopy and colorimetric assays. Depth- and time-resolved NO2- and NO3- concentrations were strongly inhomogeneous and primarily driven by convection during and after plasma-liquid interactions. H2O2 concentrations determined from deconvolved optical depth spectra were found to compensate for the optical depth spectra of excluded reactive species and changes in dissolved gas content. Plasma-activated media remained weakly basic due to NaHCO3 buffering, preventing the H+-catalyzed decomposition of NO2- seen in acidic plasma-activated water. An initial increase in pH may indicate CO2 sparging. Furthermore, the pH-dependency of UV optical depth spectra illustrated the need for pH compensation in the fitting of optical depth data. Published by AIP Publishing.

    DOI: 10.1063/1.4999256

  • Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium 査読

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   14 ( 11 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A mechanism of the cytotoxicity of plasma-activated medium (PAM) is revealed by examining the intracellular effects of reactive oxygen nitrogen species (RONS) and lipid oxidation. PAM is cell culture medium activated by irradiation using non-equilibrium atmospheric-pressure plasma using pure Ar gas in ambient air. Extracellular RONS in PAM induced the apoptotic death of HeLa cells. Temporal changes in intracellular RONS, such as ONOO-, NO, and O2-, were analyzed. Intracellular RONS generation in HeLa cells following incubation in PAM triggered activation of the caspase cascade pathway and lipid peroxidation of intracellular membranes to induce apoptosis.

    DOI: 10.1002/ppap.201700123

  • Surface roughening of photoresist after change of the photon/radical and ion treatment sequence 査読

    Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   35 ( 6 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The formation of surface roughness during ion irradiation was suppressed by photon/radical pretreatment of a photoresist with HBr or H-2 plasmas. The opposite sequence of treatment after ion irradiation enhanced the surface roughness. The enhancement of roughness after post-treatment was not correlated with a decrease in the film thickness, reactions of Br radicals at the surface, or with the presence of the amorphous carbon-like layer generated by ion irradiation because similar modification was observed for the pretreatment. Fourier transform infrared spectroscopy analysis revealed that the intensities of peaks originated from the C=O absorption of methacrylic acid and methacrylate groups were decreased by irradiation with vacuum ultraviolet light at wavelengths in the range between 115 and 170 nm emitted from HBr or H2 plasmas. The removal of the C=O groups possibly generated dangling bonds and induced crosslinking reactions of the photoresist polymers. The nonuniformity of crosslinking reactions on the roughened photoresist intensively increased the surface roughness. (C) 2017 American Vacuum Society.

    DOI: 10.1116/1.4994218

  • High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition 査読

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 ( 40 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    For polymer electrolyte fuel cell applications, carbon nanowalls (CNWs) were synthesized by radical-injection plasma-enhanced chemical vapor deposition, and a high density of Pt nanoparticles (> 10(12) cm(-2)) was supported on the CNWs using a supercritical fluid deposition system. The high potential cycle tests were applied and the electrochemical surface area of the Pt nanoparticle-supported CNWs did not change significantly, even after 20 000 high potential cycles. According to transmission electron microscopy observations, the mean diameter of Pt changed slightly after the cycle tests, while the crystallinity of the CNWs evaluated using Raman spectroscopy showed almost no change.

    DOI: 10.1088/1361-6463/aa8131

  • Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy 査読

    Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    BIOINTERPHASES   12 ( 3 )   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The multiplex coherent anti-Stokes Raman scattering microscopy allowed label-free visualization of cytoplasmic lipid droplets (LDs). The LDs, which act to conserve energy storage, are usually accumulated during the normal apoptosis of HeLa cells with activation of caspase-3/7 leading to downregulation of the fatty acid catabolism pathways. During cultivating in nonthermal plasma-activated medium (PAM), while the activation of caspase-3/7 was induced, the authors found that a dynamic exhaustion of the intracellular LDs, underlying the metabolic mechanism of the PAM-induced apoptotic cell death of HeLa cells. (c) 2017 American Vacuum Society.

    DOI: 10.1116/1.4997170

  • Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation 査読

    Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   10 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Surface chemical reactions on the GaN surface with Cl radicals are thermally enhanced in the high-temperature Cl2 plasma etching of GaN, resulting in the formation of etch pits and thereby, a roughened surface. Simultaneous irradiation of ultraviolet (UV) photons in Cl2 plasma emissions with wavelengths of 258 and 306nm reduces the surface chemical reactions because of the photodissociation of both Ga and N chlorides, which leads to a suppression of the increase in surface roughness. Compared with Si-related materials, we point out that photon-induced reactions should be taken into account during the plasma processing of wide-bandgap semiconductors. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.10.086502

  • Temperature dependence of protection layer formation on organic trench sidewall in H-2/N-2 plasma etching with control of substrate temperature 査読

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 ( 7 )   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    For the etching of organic films in H-2/N-2 plasma, etched profiles are significantly determined by substrate temperature. Here, we control the substrate temperature variation within 3 degrees C during processing by modulating the plasma-discharge time. The evolution of the cross-sectional profile of line-and-space patterns was observed every 10 s. At 60 and 100 degrees C, sidewall etching was observed during overetching, but not at 20 degrees C. During the main etching, the sidewalls were protected by the adsorption of by-products at various temperatures. Moreover, we investigated the temperature dependence of protection layer formation by analyzing the surface components of the organic film. The CN layer formed by N radicals has a protective effect that depends on the components of the CN layer. It was found that the ratio of C-N sp(3) to C-N sp(2) in the sidewall was highest at 100 degrees C. By evaluating the radical contribution to CN layer formation, C-N sp3 bonds were observed to be formed by ions and radiation-assisted reaction. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.076202

  • Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 degrees C 査読

    Shinnosuke Takai, Yi Lu, Osamu Oda, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The InN films were deposited on GaN surfaces at a low temperature of 200 degrees C by radical-enhanced metal organic chemical vapor deposition (REMOCVD). The REMOCVD system can provide N radicals from the plasma of a N-2-H-2 mixture gas without using ammonia. Two types of GaN substrate, bulk GaN and GaN on Si(111), were used. The growth mode was modeled as a step flow on the basis of surface morphology observation by atomic force microscopy. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.06HE08

  • Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy 査読

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   19 ( 21 )   13438 - 13442   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Interactions between non-equilibrium atmospheric-pressure plasma (NEAPP) and living cells were examined using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy. Our multiplex CARS analyses revealed that NEAPP irradiation generates short-lived radicals that induce a decrease in the mitochondrial activity of budding yeast cells.

    DOI: 10.1039/c7cp00489c

  • A CONCRETE APPROACH TO DIAGONAL SHORT TIME ASYMPTOTICS OF HEAT KERNELS ASSOCIATED WITH SUB-LAPLACIAN ON CR MANIFOLDS 査読

    Hiroki Kondo

    KYUSHU JOURNAL OF MATHEMATICS   71 ( 1 )   65 - 84   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A diffusion process associated with the real sub-Laplacian Delta(b), the real part of the complex Kohn-Spencer Laplacian (sic)(b), on a strictly pseudoconvex CR manifold is constructed by H. Kondo and S. Taniguchi [A construction of diffusion processes associated with sub-Laplacian on CR manifolds and its applications. J. Math. Soc. Japan 69(1) (2017), 111-125]. In this paper, we investigate the diagonal short time asymptotics of the heat kernel corresponding to the diffusion process by using Watanabe's asymptotic expansion and give a representation for the asymptotic expansion of heat kernels which shows a relationship to the geometric structure.

    DOI: 10.2206/kyushujm.71.65

  • Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas 査読

    Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We present experimentally determined spatial profiles of the interelectrode electron density (n(e)) in dual-frequency capacitively coupled plasmas in which the negative direct current (dc) bias voltage (V-dc) is superposed; in the experiment, 13 MHz (P-low) was applied to the lower electrode and 60 MHz (P-high) to the upper electrode. The bulk n(e) increased substantially with increases in the external power, P-high, P-low, and with increases in V-dc. When P-low was insufficient, the bulk n(e) decreased as the V-dc bias increased. The bulk n(e) increased due to its dependence on V-dc, especially for |V-dc| > 500 V. This may correspond to the sheath voltages (V-s) of the lower electrode. The n(e) values in front of the upper electrode were coupled with the V-dc: the V-dc dependence first decreased and then increased. The dc currents (I-dc) of the upper electrode were collected when a large P-low was applied. The value of I-dc at the threshold value of V-dc approximate to V-s (e.g. -500 V) increased with an increase in n(e). When |V-dc| exceeded the threshold, the spatial n(e) profile and the I-dc dependence were changed relative to the electrical characteristics of the dc superposition; this led to a change in the location of the maximum n(e), the width of the area of n(e) depletion in front of the electrodes, and a transition in the electron heating modes.

    DOI: 10.1088/1361-6463/aa60f7

  • Protein Nanoparticle Formation Using a Circularly Permuted alpha-Helix Rich Trimeric Protein 査読

    Norifumi Kawakami, Hiroki Kondo, Masayuki Muramatsu, Kenji Miyamoto

    BIOCONJUGATE CHEMISTRY   28 ( 2 )   336 - 340   2017年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We here report the production of highly spherical protein nanoparticles based on the domain-swapping oligomerization of a circularly permuted trimeric protein, major histocompatibility complex (MHC) class II associated chaperonin. The size distribution of the nanoparticles can be adjusted to between 40 and 100 nm in diameter, and thus, these particles are suitable as drug carriers following purification under basic conditions. Our approach involves no harsh treatments and could provide an alternative approach for protein nanoparticle formation.

    DOI: 10.1021/acs.bioconjchem.6b00735

  • Absolute density of precursor SiH3 radicals and H atoms in H-2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films 査読

    Yusuke Abe, Kenji Ishikawa, Keigo Takeda, Takayoshi Tsutsumi, Atsushi Fukushima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   110 ( 4 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Microcrystalline hydrogenated silicon films were produced at a high deposition rate of about 2nm/s by using a capacitively coupled plasma under a practical pressure of around 1 kPa. The SiH4 source gas was almost fully dissociated when highly diluted with H-2 gas, and the dominant species in the gas phase were found to be SiH3 radicals, which are film-growth precursors, and H atoms. The absolute density of these species was measured as the partial pressure of SiH4 gas was varied. With the increasing SiH4 gas flow rate, the SiH3 radical density, which was on the order of 10(12) cm(-3), increased linearly, while the H-atom density remained constant at about 10(12) cm(-3). The film growth mechanism was described in terms of precursors, based on the measured flux of SiH3 radicals and H atoms, and the relative fraction of higher-order radicals. Published by AIP Publishing.

    DOI: 10.1063/1.4974821

  • Atomic layer etching of SiO2 by alternating an O-2 plasma with fluorocarbon film deposition 査読

    Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   35 ( 1 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    This work demonstrated a process for the atomic-scale etching of SiO2 films, consisting of alternating nanometer-thick fluorocarbon film deposition with O-2 plasma irradiation in a capacitively coupled plasma reactor. Ar plasma etching after fluorocarbon film deposition tends to suffer from nanometer- or subnanometer-thick carbon films deposited on the SiO2 surface and chamber walls. These carbon films cause various problems, such as reductions in the etching rate per cycle and degradation of the SiO2 quality. In contrast, in our two-step process, O-2 plasma removes carbon atoms in such fluorocarbon films. This process therefore allows the atomic scale etching of SiO2 films without any residue or surface contamination. Additionally, since the etching rate per cycle plateaus as both the etching time and deposition time are extended, it is unnecessary to uniformly deposit a fluorocarbon film over the wafer. (C) 2016 American Vacuum Society.

    DOI: 10.1116/1.4971171

  • Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma 査読

    Takumi Ito, Kenji Ishikawa, Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    IEEE TRANSACTIONS ON PLASMA SCIENCE   44 ( 12 )   3060 - 3065   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In the field of microfluidics, it is possible to facilitate liquid transport through microsized holes with large slip lengths by lowering the friction at the interface between the flow and the inner surface of the holes. In this paper, we discuss the use of nonequilibrium atmospheric-pressure plasma to modify the surface wettability of microsized holes in glass substrates that are similar to those used as flow channels in glass microfiltration devices. In our experiments, liquid transport flows were driven by internal Laplace pressure differences based on the surface tensions of droplets placed on the front and back sides of the tested substrates.

    DOI: 10.1109/TPS.2016.2571721

  • Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 査読

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   13 ( 7 )   730 - 736   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Amorphous carbon (a-C) films are deposited using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system employing a mixture of H-2 and CH4 gases. Variations in the structural and electronic properties of the resulting films with changes in the residence times of radical species and molecules are investigated by varying the total gas flow rate from 50 to 400sccm. With decreasing residence time, the deposition rate is found to gradually increase, reaching a maximum value at a residence time of 6ms, after which a decrease was observed. Optical emission spectra showed that the relative intensity of the CH emission increased with decreasing residence time. These results indicate a change in the dominant radical species resulting from suppression of the dissociation of radicals and molecules. Increasing amorphization and an obvious increase in the Tauc gap from 0.6 to 0.9eV are found with decreasing residence time, while there is little change in the hydrogen content of the films. From these data, it is evident that control over the structural properties and optical bandgap of a-C films can be realized by optimizing the distribution of radical species.

    DOI: 10.1002/ppap.201500229

  • Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition 査読

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 4 )   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In hydrogenated amorphous carbon films, deposited using a radical-injection plasma-enhanced chemical vapor deposition system, the chemical bonding structure was analyzed by near-edge X-ray absorption fine-structure spectroscopy. With a change in the residence times of source gases in a reactor, whereby total gas flow rates of H-2/CH4 increased from 50 to 400 sccm, sp(2)-C fractions showed the minimum value at 150 sccm, while H concentration negligibly changed according to the results of secondary ion mass spectroscopy. On the other hand, widths of sigma* C-C peaks increased with decreasing gas residence time, which indicates an increase in the fluctuation of bonding structures. (C) 2016 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.55.040305

  • Advanced Plasma Science and Its Applications for Nitride and Nanomaterials FOREWORD 査読

    Akihiro Wakahara, Osamu Nakatsuka, Minoru Sasaki, Kazuo Terashima, Hiroshi Amano, Takashi Egawa, Yasufumi Fujiwara, Mineo Hiramatsu, Ryoichi Ichino, Yasushi Inoue, Masafumi Ito, Makoto Kasu, Hiroki Kondo, Seiichi Miyazaki, Kazuaki Sawada, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, Hirofumi Takikawa, Yoshimi Watanabe

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 1 )   2016年1月

     詳細を見る

    記述言語:英語  

    DOI: 10.7567/JJAP.55.01A001

  • Real-time temperature monitoring of Si substrate during plasma processing and its heat-flux analysis 査読

    Takayoshi Tsutsumi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 1 )   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Actual Si wafer temperatures during plasma etching processes were temporally measured using a real-time wafer-temperature monitoring system with autocorrelation-type frequency-domain low-coherence interferometry. Indeed, the Si wafer temperature, which was 20 degrees C before the process, rapidly increased in 10 s. Then, the temperature rise gradually slowed, but continued to increase and reached 45 degrees C after 600 s. This can be due to the fact that there exists a heat source for the wafer other than the plasma. Reasonably, the Si wafer was found to be sensitive to the temperature of the disk covering the area around the wafer, i.e., the focus ring. Usually, the temperature of the focus ring is not controlled and causes the radial distribution of Si wafer temperature. Consequently, the Si wafer temperature should be controlled with the temperature increase of other heat sources, especially the focus ring. (C) 2016 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.55.01AB04

  • Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching 査読

    Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING   28 ( 4 )   515 - 520   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A wafer temperature feedback control system during plasma processing with rapid, precise, and real-time temperature monitoring employing frequency-domain low-coherence interferometry was developed. To keep the temperature within a specific range, plasma was actively switched on and off, controlled by signals from a monitoring system. It was applied to an organic film etching process with an H-2 and N-2 mixture gas plasma. The organic material etching yield from atomic hydrogen has a relatively high sensitivity to temperature, and a constant temperature is required to achieve precise etching profiles. This system maintained the wafer temperature within a few degrees for H-2/N-2 plasma discharges. Duty ratios per discharge gradually decreased because the temperature of the chamber component parts around the wafer increased. The trench width etched in the organic film increased with increasing wafer temperature. This is because of a temperature dependence balance between the etching reaction and protection film formation on the trench sidewall.

    DOI: 10.1109/TSM.2015.2470554

  • Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based Direct Counting of Bacterial and HeLa Cells 査読

    Kiichi Niitsu, Shoko Ota, Kohei Gamo, Hiroki Kondo, Masaru Hori, Kazuo Nakazato

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   9 ( 5 )   607 - 619   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The development of two new types of high-density, electroless plated microelectrode arrays for CMOS-based high-sensitivity direct bacteria and HeLa cell counting are presented. For emerging high-sensitivity direct pathogen counting, two technical challenges must be addressed. One is the formation of a bacteria-sized microelectrode, and the other is the development of a high-sensitivity and high-speed amperometry circuit. The requirement for microelectrode formation is that the gold microelectrodes are required to be as small as the target cell. By improving a self-aligned electroless plating technique, the dimensions of the microelectrodes on a CMOS sensor chip in this work were successfully reduced to 1.2 mu M x 2.05 mu M. This is 1/20th of the smallest size reported in the literature. Since a bacteria-sized microelectrode has a severe limitation on the current flow, the amperometry circuit has to have a high sensitivity and high speed with low noise. In this work, a current buffer was inserted to mitigate the potential fluctuation. Three test chips were fabricated using a 0.6-mu M CMOS process: two with 1.2 mu M x 2.05 mu M (1024 x 1024 and 4 x 4) sensor arrays and one with 6-mu M square (16 x 16) sensor arrays; and the microelectrodes were formed on them using electroless plating. The uniformity among the 1024 1024 electrodes arranged with a pitch of 3.6 mu M x 4.45 mu M was optically verified. For improving sensitivity, the trenches on each microelectrode were developed and verified optically and electrochemically for the first time. Higher sensitivity can be achieved by introducing a trench structure than by using a conventional microelectrode formed by contact photolithography. Cyclic voltammetry (CV) measurements obtained using the 1.2 mu M x 2.05 mu M 4 x 4 and 6-mu M square 16 x 16 sensor array with electroless-plated microelectrodes successfully demonstrated direct counting of the bacteria-sized microbeads and HeLa cells.

    DOI: 10.1109/TBCAS.2015.2479656

  • High sensitivity of a carbon nanowall-based sensor for detection of organic vapours 査読

    P. Slobodian, U. Cvelbar, P. Riha, R. Olejnik, J. Matyas, G. Filipič, H. Watanabe, S. Tajima, H. Kondo, M. Sekine, M. Hori

    RSC Advances   5 ( 110 )   90515 - 90520   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The high sensitivity in response, selectivity and reversibility was achieved on a carbon nanowall-based sensor for the vapor detection of volatile organic compounds, which were tested by an electrical resistance method during adsorption and desorption cycles. The maze-like structure of two different carbon nanowalls with wall-to-wall distances of 100 nm and 300 nm were prepared on a silicone substrate by a plasma-enhanced chemical vapor deposition system while varying processing parameters. Four organic vapors: iso-pentane
    diethyl ether
    acetone
    and methanol
    were selected in order to evaluate the relationship between the change in resistance, molecular weight of the adsorbent and the polarity. The results show that the carbon nanowalls with average wall distance 100 nm exhibit substantially enhanced electrical response to all volatile organic compound vapors used in comparison with the nanowalls with 300 nm wall distance as well as entangled multiwall carbon nanotube networks.

    DOI: 10.1039/c5ra12000d

  • Suppression of plasma-induced damage on GaN etched by a Cl-2 plasma at high temperatures 査読

    Zecheng Liu, Jialin Pan, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 6 )   2015年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Plasma-induced damage (PID) during plasma-etching processes was suppressed by the application of Cl-2 plasma etching at an optimal temperature of 400 degrees C, based on results of evaluations of photoluminescence (PL), stoichiometric composition, and surface roughness. The effects of ions, photons, and radicals on damage formation were separated from the effects of plasma using the pallet for plasma evaluation (PAPE) method. The PID was induced primarily by energetic ion bombardments at temperatures lower than 400 degrees C and decreased with increasing temperature. Irradiations by photons and radicals were enhanced to form the PID and to develop surface roughness at temperatures higher than 400 degrees C. Consequently, Cl-2 plasma etching at 400 degrees C resulted optimally in low damage and a stoichiometric and smooth GaN surface. (C) 2015 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.54.06GB04

  • CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase 査読

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 4 )   2015年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The gas phase fragmentations of perfluoro-propyl-vinyl ether (PPVE, C5F10O) are studied experimentally. Dominant fragmentations of PPVE are found to be the result of a dissociative ionization reaction, i.e., CF3+ via direct bond cleavage, and C2F3O- and C3F7O- via electron attachment. Regardless of the appearance energy of around 14.5 eV for the dissociative ionization of CF3+, the observed ion efficiency for the CF3+ ion was extremely large the order of 10(-20)cm(-2), compared with only 10(-21)cm(-2) for the other channels. PPVE characteristically generated CF3+ as the largest abundant ion are advantageous for use of feedstock gases in plasma etching processes. (c) 2015 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.54.040301

  • Silicon nitride etching performance of CH2F2 plasma diluted with argon or krypton 査読

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 4 )   2015年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Etching rates of silicon nitrides (SiN), SiO2, and poly-Si films for CH2F2 plasmas diluted with rare gases are presented by comparing the effects of flow rates of CH2F2 and dilution gases (Ar and Kr). The SiO2 etching rate was considered to be controlled by ion fluxes of the incident CHF2+ and CH2F+ under the conditions for the selective etching of SiO2 and SiN over poly-Si. Interestingly, the SiN etching rate was considerably affected by the dilution gas used. The SiN surface reaction was promoted by F-rich chemistry in the Ar-diluted CH2F2 plasma with a relatively high density of F atoms. (c) 2015 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.54.040303

  • Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions 査読

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   48 ( 4 )   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Ion densities of CH2F+ and CHF2+ were determined by dissociative ionization pathways in channels of charge exchange collisions, i.e. CH2F2 + M+--> CH2F+ + F + M* and CHF2+ + H + M* [M = Ar, Kr] in CH2F2 plasmas diluted by a rare gas [M]. These channels simultaneously generated counter fragments of charge-neutral H and F atoms of interest for plasma etching processes. In Ar-diluted plasmas, CH2F+ ions predominated due to dissociative ionization between Ar+ [ca. 15.8 eV] and C-F appearance [dissociative ionization] energy [ca. 16 eV] to form CH2F+. In contrast, for Kr-diluted plasmas, C-H appearance energy [ca. 13.8 eV] predominated to produce a larger amount of CHF2+ ions due to a similar channel for charge exchange collisions between Kr+[ca. 14 eV] and CH2F2. Thus, adding the ratio of Ar and Kr gas to CH2F2 plasmas provided control over the fraction of CH2F+ and CHF2+ ion densities.

    DOI: 10.1088/0022-3727/48/4/045202

  • Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry 査読

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, Masafumi Ito

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 1 )   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have compared in detail the robust characteristics of an autocorrelation-type frequency-domain low-coherence interferometry (ACT-FD-LCI) system without a reference mirror with those of the conventional frequency-domain low-coherence interferometry (FD-LCI) system with a reference mirror. The standard deviation of temperature measurement was less than 0.04 degrees C at temperatures below 550 degrees C for a typical thickness of 480 mu m, as determined from the measured optical path length. The robustness of performance against disturbances has been markedly improved, as compared with a precision of 0.28 degrees C in the conventional FD-LCI system with the reference mirror. In particular, we have confirmed that the ACT-FD-LCI system has a large tolerance to disturbances due to dispersion and changes in the polarization of the signal light owing to the removal of the reference mirror. (C) 2015 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.54.01AB03

  • Carbon nanowall scaffold to control culturing of cervical cancer cells 査読

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    APPLIED PHYSICS LETTERS   105 ( 24 )   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The effect of carbon nanowalls (CNWs) on the culturing rate and morphological control of cervical cancer cells (HeLa cells) was investigated. CNWs with different densities were grown using plasma-enhanced chemical vapor deposition and subjected to post-growth plasma treatment for modification of the surface terminations. Although the surface wettability of the CNWs was not significantly dependent on the CNW densities, the cell culturing rates were significantly dependent. Morphological changes of the cells were not significantly dependent on the density of CNWs. These results indicate that plasma-induced surface morphology and chemical terminations enable nanobio applications using carbon nanomaterials. (C) 2014 AIP Publishing LLC.

    DOI: 10.1063/1.4902054

  • Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control 査読

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   47 ( 42 )   2014年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In plasma etching processes, temporal changes in atom densities need to be avoided since the ratio of atom densities is known to directly determine feature profiles. For H-2 and N-2 mixture plasmas, temporal changes in H and N atom densities are affected by chamber wall conditions, particularly after air exposure. Here we demonstrate that a new feedback control system recently developed by us could autonomously recover atom densities to the intended values in real time, on the basis of vacuum ultraviolet absorption spectroscopy measurements. Real-time process control is thus crucially achieved to suppress fluctuations in feature profiles due to plasma etching.

    DOI: 10.1088/0022-3727/47/42/422002

  • Temporal changes in absolute atom densities in H-2 and N-2 mixture gas plasmas by surface modifications of reactor wall 査読

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Honi

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 5 )   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Real time vacuum ultraviolet absorption spectroscopic (VUVAS) measurements revealed that temporal changes in absolute atom densities in H-2 and N-2 mixture gas (H-2/N-2) plasmas were affected by the conditions of the reactor inner wall-surface made of anodically-oxidized aluminum. Depending on preceding processes prior to the H-2/N-2 plasma process, N and H atom densities decreased for a nitrided wall surface, while no change occurred for a hydrogenated surface. Thus, the process history was revealed in the loss-properties of atoms on the reactor wall-surfaces. Suppressing the temporal variations in the gas-phase atom density is crucially important for realizing the precise control of the material processing with a high reproducibility. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.050301

  • Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls 査読

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Maseru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 4 )   040307   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    For utilization in future electronic application of graphene materials, nitrogen (N) atom doping into graphene sheets is an important technology. We investigated the electrical conduction of carbon nanowalls (CNWs), consisting of stacks of graphene sheets standing vertically on substrates. By post-treatment for 30 s, the electrical conductivity of CNWs increased. On the other hand, as the post-treatment time increased, the electrical conductivity decreased. According to Hall measurement, the carrier density decreased with increasing post-treatment time, while the carrier mobility increased. Consequently, the electrical conduction of the CNWs was successfully controlled by N-2 plasma treatment. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.040307

  • Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution 査読

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 4 )   040305:1-4   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs), which are three-dimensional carbon nanomaterials consisting of stacks of graphene sheets vertically standing on substrates, possess a mazelike architecture containing high-density graphene edges and large-area plane surfaces. A selective morphological modification technique for the surfaces of CNWs after their growth has been developed employing hydrogen peroxide (H2O2) solution. It was found that oxidative radicals in H2O2 solution formed characteristic nanometer-scale asperities on the CNW surface without etching from the top edges. Photoelectron spectra indicate that hydroxyl adsorption and subsequent reactions at the edge and plane of graphene contribute to the selective morphological change on the CNW surface. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.040305

  • Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls 査読

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   7 ( 4 )   046201   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Cauliflorous nanographene sheets were hierarchically regrown on the spearlike structures of carbon nanowalls (CNWs) produced by O-2-plasma etching. The spears on the CNWs acted as a stem for the growth of flowerlike flaky nanographene sheets, where the root of the nanoflower was located at a defect or disordered site. The defects on the graphitic structures were induced by irradiation with oxygen-related radicals and ions in the O-2-based plasmas and acted as sites for the nucleation of flowerlike nanographene. The porous carbon nanostructures regrown after O-2-plasma treatment have a relatively higher surface area and are thus promising materials for electrochemical applications. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.7.046201

  • Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N-2/H-2 excited plasma - effect of TMG flow rate and VHF power 査読

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JOURNAL OF CRYSTAL GROWTH   391   97 - 103   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H-2 gas together with N-2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source now rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 mu m/h at a low temperature of 800 degrees C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas. (C) 2014 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.jcrysgro.2014.01.014

  • Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O-2 non-equilibrium atmospheric-pressure plasma jet

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA SOURCES SCIENCE & TECHNOLOGY   23 ( 2 )   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A method combining of two-photon absorption laser-induced fluorescence and vacuum ultra-violet absorption spectroscopy is constructed and used to get the fine spatial distribution of O generated by a planar type of Ar/O-2 non-equilibrium atmospheric-pressure plasma jet. The O density in the quasi-uniform region, which occupies about 80&#37; of the total plasma width, is as high as 10(15) cm(-3). The lifetime of O is estimated to be about 360 +/- 60 mu s. The loss of O atoms is due to the three-body reaction of O + O2 + Ar -> O-3 + Ar confirmed by a simple calculation with a few rate equations. The results are very useful for the simulation of Ar/O-2 plasmas, the design of large-scale planar plasma jets and the development of their potential applications.

    DOI: 10.1088/0963-0252/23/2/025004

  • Density control of carbon nanowalls grown by CH4/H-2 plasma and their electrical properties 査読

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hirarnatsu, Masaru Hori

    CARBON   68   380 - 388   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on successful control of the density of carbon nanowalls (CNWs) synthesized using radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) employing CH4/H-2 plasma, by varying the total pressure and discharge power during growth. As the total pressure was decreased or the power was increased, the density of CNWs decreased in conjunction with an increase in the H atom density measured using actinometric optical emission spectroscopy. The electrical conductivity of the films was found to be determined by the number of CNWs per unit length, i.e., the linear density. We demonstrated that the electrical properties could be controlled while maintaining the crystal quality and chemical bonding state of the synthesized CNWs in the RI-PECVD. (C) 2013 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.carbon.2013.11.014

  • Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C 査読

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   53 ( 1 )   010305:1-4   2014年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O-2/Ar plasma at 50 degrees C
    We report the temporal evolution of surface species observed in situ using attenuated total reflection Fourier transform infrared absorption spectroscopy (ATR-FTIR) during plasma-enhanced atomic layer deposition (PE-ALD) of SiO2 films employing aminosilane and an O-2/Ar plasma at a temperature of 50 degrees C. Reversals in the appearance of IR absorbance features associated with SiO-H, C-H-x, and Si-H proved to coincide with the self-limiting reaction property in ALD. Our IR results indicate that an O-2/Ar plasma can both removed CHx groups and transform SiH surface species to SiOH. In addition, SiO2 deposition was confirmed by a continuous increase in Si-O absorbance with each PE-ALD step, which becomes stable after several cycles. On the basis of our results, the mechanism of low temperature SiO2 PE-ALD was discussed. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.010305

  • Effect of indirect nonequilibrium atmospheric pressure plasma on anti-proliferative activity against chronic chemo-resistant ovarian cancer cells in vitro and in vivo

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, Fumitaka Kikkawa

    PLoS ONE   8 ( 12 )   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Purpose: Nonequilibrium atmospheric pressure plasma (NEAPP) therapy has recently been focused on as a novel medical practice. Using cells with acquired paclitaxel/cisplatin resistance, we elucidated effects of indirect NEAPP-activated medium (NEAPP-AM) exposure on cell viability and tumor growth in vitro and in vivo. Methods: Using chronic paclitaxel/cisplatin-resistant ovarian cancer cells, we applied indirect NEAPP-exposed medium to cells and xenografted tumors in a mouse model. Furthermore, we examined the role of reactive oxygen species (ROS) or their scavengers in the above-mentioned EOC cells. Results: We assessed the viability of NOS2 and NOS3 cells exposed to NEAPP-AM, which was prepared beforehand by irradiation with NEAPP for the indicated time. In NOS2 cells, viability decreased by approximately 30&#37; after NEAPP-AM 120-sec treatment (P&lt
    0.01). The growth-inhibitory effects of NEAPP-AM were completely inhibited by N-acetyl cysteine treatment, while L-buthionine-[S, R]-sulfoximine, an inhibitor of the ROS scavenger used with NEAPP-AM, decreased cell viability by 85&#37; after NEAPP-AM 60-sec treatment(P&lt
    0.05) and by 52&#37; after 120 sec, compared to the control (P&lt
    0.01). In the murine subcutaneous tumor-formation model, NEAPP-AM injection resulted in an average inhibition of the NOS2 cell-inoculated tumor by 66&#37; (P&lt
    0.05) and NOS2TR cell-inoculated tumor by 52&#37; (P&lt
    0.05), as compared with the control. Conclusion: We demonstrated that plasma-activated medium also had an anti-tumor effect on chemo-resistant cells in vitro and in vivo. Indirect plasma therapy is a promising treatment option for EOC and may contribute to a better patient prognosis in the future. © 2013 Utsumi et al.

    DOI: 10.1371/journal.pone.0081576

  • Field Emissions from Organic Nanorods Armored with Metal Nanoparticles

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 12 )   1-4   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report the fabrication of organic nanorods with a diameter of approximately 10 nm and a height of 106.8 nm (a high aspect ratio of 10.5) armored by Pt nanoparticles. Our results demonstrate that Pt particles deposited by metalorganic supercritical chemical fluid deposition (MOCFD) covering the entire deposition area play important roles in not only etch resistance, especially in protecting the sidewalls, but also the formation of electroconductive Pt/C composites, which were found to have field emission properties. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.120203

  • High H radical density produced by 1-m-long atmospheric pressure microwave plasma system

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, Masaru Hori

    Japanese Journal of Applied Physics   52 ( 11 )   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    We have developed an atmospheric pressure microwave plasma system with a microwave antenna consisting of two microwave guides, which have a discharge line with 41 slots. The antenna is set against a movable stage with a heater in a process chamber. The process gas used is a 1&#37; H2 gas diluted by Ar gas. We clarified various characteristics, such as gas temperature, electron density, and hydrogen radical density, using this system, and found that the gas temperature is about 1000 K, the electron density is 1 × 1015 cm-3, and the H radical density is 1 × 1016 cm -3 at the slot under the condition of a 10 GHz, 1.5 kW, pulsed microwave with a pulsed voltage of 2.5, a pulsed frequency of 4 kHz, and a duty ratio of 0.16. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.11NE01

  • Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, Masafumi Ito

    APPLIED PHYSICS LETTERS   103 ( 18 )   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Rapid high-precision temperature monitoring systems for silicon wafers applicable even during plasma processing have been developed using frequency-domain low-coherence interferometry without a reference mirror. It was found to have a precision of 0.04 degrees C, a response time of 1 ms, and a large tolerance to mechanical vibrations and fiber vending when monitoring the temperature of commercial Si wafers. The performance is a substantial improvement over the previous precision of 0.11 degrees C measured in a few seconds using a time-domain method. It is, therefore, a powerful real-time technique to monitor rapidly varying wafer temperatures with high precision. (C) 2013 AIP Publishing LLC.

    DOI: 10.1063/1.4827426

  • Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   6 ( 9 )   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs) consist of walls of thin graphite with thicknesses of a few tens of nanometers that stand vertically on a substrate. For modification of their structure after growth, we developed a method utilizing etching with oxygen atoms generated by an inductively coupled oxygen plasma. We found that oxygen atoms etched CNWs selectively from the top edges without etching reaction of the wall surface as the graphitic planes. This can provide a method for realizing carbon nanoelectronics by selective modification of the edges without oxidation of the graphene planes. (c) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.6.095201

  • Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    Hitoshi Watanabe, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Shailesh Kumar, Kostya Ostrikov, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   10 ( 7 )   582 - 592   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs) are self-assembled, free-standing, few-layered graphenenano-structures with large surface area, and thin graphene edges. For their application to nanobiotechnology, the effects of chemisorbed species on surface wettability were investigated. The surfaces of as-grown CNWs obtained using CH4/H-2 mixture were hydrophilic. After Ar atmospheric pressure plasma treatments for up to 30s, the contact angles of water droplets on the CNWs decreased from 51 degrees to 5 degrees, owing to a result of oxidation only at edges and surface defects. They increased up to 147 degrees by CF4 plasma treatment at low pressure. The wide-range control of surface wettability of CNWs was realized by post-growth plasma treatments. We also demonstrated detection of bovine serum albumin using surface-modified CNWs as electrodes.

    DOI: 10.1002/ppap.201200141

  • Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability 査読

    Hitoshi Watanabe, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Shailesh Kumar, Kostya Ostrikov, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   10 ( 7 )   582 - 592   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs) are self-assembled, free-standing, few-layered graphenenano-structures with large surface area, and thin graphene edges. For their application to nanobiotechnology, the effects of chemisorbed species on surface wettability were investigated. The surfaces of as-grown CNWs obtained using CH4/H-2 mixture were hydrophilic. After Ar atmospheric pressure plasma treatments for up to 30s, the contact angles of water droplets on the CNWs decreased from 51 degrees to 5 degrees, owing to a result of oxidation only at edges and surface defects. They increased up to 147 degrees by CF4 plasma treatment at low pressure. The wide-range control of surface wettability of CNWs was realized by post-growth plasma treatments. We also demonstrated detection of bovine serum albumin using surface-modified CNWs as electrodes.

    DOI: 10.1002/ppap.201200141

  • Low-Temperature Single-Walled Carbon Nanotube Growth from Pt Catalyst Using Alcohol Gas Source Method in High Vacuum 査読

    Hiroki Kondo, Naoya Fukuoka, Ranajit Ghosh, Shigeya Naritsuka, Takahiro Maruyama, Sumio Iijima

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 6 )   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The growth of single-walled carbon nanotubes (SWCNTs) was carried out on SiO2/Si substrates with Pt catalysts at 400, 450, and 700 degrees C using an alcohol gas source method in a high vacuum, and the grown SWCNTs were characterized by Raman spectroscopy. By optimizing the ethanol pressure, we could grow SWCNTs even at 400 and 450 degrees C. By reducing the growth temperature, both the diameter and diameter distribution of the SWCNTs were markedly decreased, and the diameters for most of the SNWTs grown at 400 degrees C were estimated to be below 1 nm from the Raman results. Transmission electron microscopy (TEM) observation showed that the reduction in SWCNT diameter was caused by the decrease in catalyst size with decreasing temperature. (c) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.06GD02

  • Surface morphology on high-temperature plasma-etched gallium nitride

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Trans. Mater. Res. Soc. Jpn. 38 (2)   38 ( 2 )   325-328 - 328   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report the surface morphology of gallium nitride (GaN) films during the argon and nitrogen plasma etching at elevated temperatures up to 800°C. For Ar plasma at high substrate temperatures above 600°C, Ar+ ion bombardment dissociates Ga-N bonds by the preferential removal of nitrogen, which promotes roughness of the GaN surface by the aggregation of gallium atoms. In N2 plasma exposure, the N/Ga remains stoichiometric with higher values above 0.85, and the surface is not significantly roughened, even higher at 600°C. Therefore, the aggregation of metallic Ga induces surface roughening during ion-enhanced etching of GaN with elevated substrate temperatures.

    DOI: 10.14723/tmrsj.38.325

  • A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   6 ( 5 )   1-4   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report the damageless surface morphology of gallium nitride (GaN) films during argon and nitrogen plasma etching at elevated temperatures up to 600 degrees C. For Ar plasma bombardment at high substrate temperatures of around 600 degrees C, Ar+ ion bombardment dissociates Ga-N bonds by the preferential removal of nitrogen, which promotes roughness of the GaN surface by the aggregation of gallium atoms. For the N-2 plasma one, the N/Ga remains stoichiometric with higher values above 0.69, and the surface is not significantly roughened, even at 600 degrees C. Therefore, the aggregation of metallic Ga induces surface roughening during ion-enhanced etching of GaN at elevated substrate temperatures. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.6.056201

  • Wavelength Dependence of Photon-Induced Interface Defects in Hydrogenated Silicon Nitride/Si Structure during Plasma Etching Processes 査読

    Masanaga Fukasawa, Hiroyasu Matsugai, Takahiro Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 5 )   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The wavelength dependence of SiNx:H/Si interface defect generation caused by vacuum ultraviolet (VUV)/UV radiation from plasma etching processes was investigated. VUV radiation (lambda < 200 nm) had almost no impact on the generation of defects at the SiNx:H/Si interface, since all the radiation in this wavelength range was absorbed in the upper SiNx:H film. However, UV radiation (200 < lambda < 400 nm) was able to reach the underlying SiNx:H/Si interface and damage the interface. Direct UV radiation reaching the SiNx : H/Si interface dissociated the chemical bonds at the interface and generated interface-trapped charges. The estimated total energy of absorbed photons (E-total; 200 < lambda < 400 nm) at the interface layer seems to be proportional to the interface-trapped charge density (D-it) measured by capacitance-voltage measurement. However, the mechanism underlying the relationship between E-total and D-it is not yet clear. Visible radiation (lambda > 400 nm) had no influence on damage generation on the SiNx:H/Si structure, since the visible radiation was transmitted through upper SiNx:H film and underlying interface layer. The results revealed that UV radiation transmitted through the upper dielectrics can cause the electrical characteristics of underlying metal-oxide-semiconductor (MOS) devices to fluctuate. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.05ED01

  • Supercritical Fluid Deposition of High-Density Nanoparticles of Photocatalytic TiO2 on Carbon Nanowalls

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS EXPRESS   6 ( 4 )   1-4   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Nanoparticles of the anatase phase of TiO2 (2.7 +/- 0.7 nm) with a high density of 10(12) cm(-2) were supported on the entire surface of carbon nanowalls (CNWs) by employing metal organic chemical fluid deposition in supercritical carbon dioxide at a substrate temperature of 180 degrees C. For decomposing methylene blue under ultraviolet irradiation, a high photocatalytic decomposition rate of 6 mg/h was obtained for 1 mg of TiO2 supported on CNWs. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.6.045103

  • Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma 査読

    Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   46 ( 10 )   pp. 102001:1-5   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report an observed relationship between chemical modifications and physical morphological roughness on a photoresist for ArF excimer laser photolithography in fluorocarbon plasma beam irradiation. At the very beginning period, three stages of characteristics of chemical changes occurred upon surface roughening or wrinkling of the photoresist; (1) a rapid reduction of C=O bonds, (2) gradual formation of a fluorocarbon layer, and graphitic (sp(2)-C) or amorphous (sp(3)-C) carbon layer; (3) as elapsed incubation phase, i.e. lag, where reached a steady state of chemical changes for fluorocarbon ion irradiation on the surface; finally morphological changes initiated. Those processes evolved within dose of 6 x 10(15) cm(-2) for ion energy of a few hundred eV.

    DOI: 10.1088/0022-3727/46/10/102001

  • Characterization of Nb hydrides synthesized in high-pressure supercritical water by micro-beam hard X-ray photoelectron spectroscopy 査読

    Kazuo Soda, Hiroki Kondo, Masahiko Kato, Tatsuhito Shiraki, Ken Niwa, Keiji Kusaba, Masashi Hasegawa, Eiji Ikenaga

    Journal of Electron Spectroscopy and Related Phenomena   186 ( 1 )   54 - 57   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have characterized Nb hydrides synthesized in high-pressure supercritical water by hard X-ray photoelectron spectroscopy. Comparison is made, in particular, of the Nb 2p core level spectra and valence band ones for the Nb hydride specimens in different stages of hydrogenation with those for Nb oxide and metallic references. The Nb 2p core level spectra of the Nb hydride specimens synthesized at relatively low temperature show an intense Nb 2O5 component and a shoulder structure, which is attributed to Nb hydrides, at the high binding energy side of a metallic component of the Nb metal. The valence band spectra of the Nb hydride specimens also show a broad band at the binding energy EB between 5 and 9 eV, which is ascribed mainly to Nb oxides. The present results indicate that the surface of the synthesized Nb hydrides is covered with several 10 nm thick Nb oxides and suggest that the Nb hydrides are formed deep inside the specimens. The Nb 2p chemical shift implies the Nb valence of +1.4 for the synthesized hydride NbHx. © 2013 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.elspec.2013.02.006

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma 査読

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   pp.020204:1-4   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N-2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp(2))=N(sp(2))- and -C(sp) N(sp). (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.020204

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma 査読

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   pp.020204:1-4   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N-2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp(2))=N(sp(2))- and -C(sp) N(sp). (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.020204

  • Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy 査読

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A high-density radical source (HDRS) was developed by optimizing the antenna structure and introducing an external magnetic field to plasma. Nitrogen radical generation by the HDRS at a density of 2.3 x 10(12) atoms cm(-3), which was one order higher than that for the conventional radical source (CRS), was achieved. The HDRS- and CRS-assisted InGaN growth in molecular beam epitaxy (MBE) was carried out. For the HDRS case, a diffraction peak in the X-ray rocking curve of the grown InGaN films showed a narrower peak, which width below 600 arcsec even with a high growth rate of 1.4 mu m/h for InGaN. MBE with the assistance of HDRS has a great potential in the growth of nitride films with a lower mosaicity and a higher growth rate. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.021001

  • Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 2 )   pp.021001-1:5   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A high-density radical source (HDRS) was developed by optimizing the antenna structure and introducing an external magnetic field to plasma. Nitrogen radical generation by the HDRS at a density of 2.3 x 10(12) atoms cm(-3), which was one order higher than that for the conventional radical source (CRS), was achieved. The HDRS- and CRS-assisted InGaN growth in molecular beam epitaxy (MBE) was carried out. For the HDRS case, a diffraction peak in the X-ray rocking curve of the grown InGaN films showed a narrower peak, which width below 600 arcsec even with a high growth rate of 1.4 mu m/h for InGaN. MBE with the assistance of HDRS has a great potential in the growth of nitride films with a lower mosaicity and a higher growth rate. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.021001

  • Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   P.014306:1-6   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Modification of an advanced ArF excimer lithographic photoresist by 400 eV Ar ion irradiation was observed in situ in real time using both infrared spectroscopy and a quartz microbalance sensor. The photoresist sputtering yields had a characteristic behavior; the sputtering yields were higher than unity at the beginning, until an ion dose of 2 x 10(16) ions cm(-2). Thereafter, the yields decreased immediately to almost zero and remained constant with the yield at zero until a dose of approximately 4 x 10(16) ions cm(-2) was reached. At larger doses, the yields increased again and reached a steady-state value of approximately 0.6. This development of the sputtering yield after the onset of ion bombardment is explained by an ion-induced modification of the photoresist that includes preferential sputtering of individual groups, argon ion implantation and the generation of voids. All these effects must be taken into account to assess line-edge-roughness on a photoresist subjected to highly energetic ion irradiation. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4772996]

    DOI: 10.1063/1.4772996

  • Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma 査読

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The surface loss probability of H radicals was investigated in SiH4/H-2 plasma using vacuum ultraviolet resonance absorption spectroscopy. The surface loss probability was calculated from the decay curve of the H radical density in the plasma afterglow and increased with the SiH4 flow rate. Silicon thin films deposited on the chamber wall were analyzed to investigate the relation between the surface loss probability and the surface condition. The surface reaction of H radicals is influenced by deposition precursors, such as SiH3 radicals. The density of H radicals significantly decreased with heating of the chamber wall up to 473 K. The surface loss probability of H radicals was estimated to be ca. 1 at 473 K. Quantitative measurements of the surface loss probability of H radicals in SiH4/H-2 plasma are expected to be particularly important for understanding the surface reactions that occur during the deposition of silicon thin films. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4773104]

    DOI: 10.1063/1.4773104

  • Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The surface loss probability of H radicals was investigated in SiH4/H-2 plasma using vacuum ultraviolet resonance absorption spectroscopy. The surface loss probability was calculated from the decay curve of the H radical density in the plasma afterglow and increased with the SiH4 flow rate. Silicon thin films deposited on the chamber wall were analyzed to investigate the relation between the surface loss probability and the surface condition. The surface reaction of H radicals is influenced by deposition precursors, such as SiH3 radicals. The density of H radicals significantly decreased with heating of the chamber wall up to 473 K. The surface loss probability of H radicals was estimated to be ca. 1 at 473 K. Quantitative measurements of the surface loss probability of H radicals in SiH4/H-2 plasma are expected to be particularly important for understanding the surface reactions that occur during the deposition of silicon thin films. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4773104]

    DOI: 10.1063/1.4773104

  • Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition 査読

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs), a self-organized network of vertically standing few-layer graphenes, were synthesized by inductively coupled plasma-enhanced chemical vapor deposition (ICP-CVD) employing methane and argon mixtures. Significant interest exists in clarifying the nucleation mechanism of CNWs and controlling their nucleation. We have investigated the early growth stage of CNWs on the catalyst-free substrate and the titanium (Ti)-nanoparticle-catalyzed substrate. In the case of catalyst-free growth of CNWs, there was an induction period of 1-5 min before the onset of vertical nanographene growth and an interface layer exists between the vertical nanographenes and the surface of Si and SiO2 substrates. Meanwhile, in the case of the growth on the Ti nanoparticle-coated SiO2 substrates, the nanographenes were directly nucleated from the Ti nanoparticles without forming a base layer within 30 s, while no nucleation was observed on the SiO2 surface at this period. These results suggest the possibility of area-selective growth of CNWs by controlling the substrate biasing to suppress the nucleation selectively from the catalyst-free surface. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.01AK05

  • Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells 査読

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 3 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A plasma-enhanced chemical deposition system with hydrogen radical-injection (RI) is proposed for the fabrication of hydrogenated microcrystalline silicon (mu c-Si: H) thin films. The plasma parameters and resultant growth characteristics obtained with the RI-capacitively coupled plasma (RI-CCP) system excited with 60MHz power were compared with those obtained using a conventional CCP (C-CCP) system. The absolute density of hydrogen (H) radicals was measured by vacuum ultraviolet laser absorption spectroscopy (VUVLAS) to evaluate the effect of RI for controlling the H radical density. A higher density of H radicals was achieved with RI-CCP than with C-CCP by H RI. The crystallinity factor, preferential orientation, defect density, microstructure, and post-deposition oxidation of Si thin films deposited using C-CCP and RI-CCP were investigated. Crystallinity factor of 0.6 was realized with high deposition rate of about 2 nm/s even under a low plasma density using RI-CCP. The defect density of mu c-Si: H thin films prepared using RI-CCP was lower than that in thin films prepared using C-CCP. In addition, post-deposition oxidation of the films with RI-CCP was lower than that with C-CCP. The high performance of RI-CCP for the fabrication of mu c-Si:H thin films for solar cell devices is also demonstrated. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4778608]

    DOI: 10.1063/1.4778608

  • Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 3 )   pp.033304:1-6   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A plasma-enhanced chemical deposition system with hydrogen radical-injection (RI) is proposed for the fabrication of hydrogenated microcrystalline silicon (mu c-Si: H) thin films. The plasma parameters and resultant growth characteristics obtained with the RI-capacitively coupled plasma (RI-CCP) system excited with 60MHz power were compared with those obtained using a conventional CCP (C-CCP) system. The absolute density of hydrogen (H) radicals was measured by vacuum ultraviolet laser absorption spectroscopy (VUVLAS) to evaluate the effect of RI for controlling the H radical density. A higher density of H radicals was achieved with RI-CCP than with C-CCP by H RI. The crystallinity factor, preferential orientation, defect density, microstructure, and post-deposition oxidation of Si thin films deposited using C-CCP and RI-CCP were investigated. Crystallinity factor of 0.6 was realized with high deposition rate of about 2 nm/s even under a low plasma density using RI-CCP. The defect density of mu c-Si: H thin films prepared using RI-CCP was lower than that in thin films prepared using C-CCP. In addition, post-deposition oxidation of the films with RI-CCP was lower than that with C-CCP. The high performance of RI-CCP for the fabrication of mu c-Si:H thin films for solar cell devices is also demonstrated. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4778608]

    DOI: 10.1063/1.4778608

  • Highly selective etching of SiO2 over Si3N 4 and Si in capacitively coupled plasma employing C 5HF7 gas 査読

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Atsuyo Yamazaki, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    Japanese Journal of Applied Physics   52 ( 1 )   1-9   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In a dual-frequency-excited parallel plate capacitively coupled plasma employing a heptafluoro-cyclo-pentene (C5HF7) gas with addition of O2 and dilution in Ar gas, highly selective etching of SiO2 at selectivities of 40 against Si3N4 and 57 against polycrystalline Si was realized. Gas phase fluorocarbon species containing H atoms such as CxHFy (x &gt
    2) played key roles in the selective deposition of thick hydrofluorocarbon films that covered the Si3N4 and polycrystalline silicon (poly-Si) surfaces and in the selective etching of SiO2 over the photoresist, SiN, and Si. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.016201

  • Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition 査読

    Mineo Hiramatsu, Masateru Naito, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Microwave plasma is one of the high-density plasmas and has been extensively used for the growth of diamond and aligned carbon nanotubes for more than a decade. However, the conventional microwave plasma of the cylindrical resonant cavity type is not suitable for the synthesis of graphene. The plasma ball produced in the resonant cavity provides a number of important species as well as ions, while deposits are damaged by the excess ion bombardment since the substrate is exposed to the plasma ball. To simply control the position of the plasma ball and reduce the ion bombardment on the substrate surface, a grounded molybdenum mesh was installed over the substrate plate to realize a remote plasma configuration. As a result, the distance between the plasma ball and the copper substrate was increased, and few-layer graphene-based films were successfully synthesized in 1 min on copper substrates placed on the entire region of a substrate holder 10 cm in diameter by using conventional microwave-plasma enhanced chemical vapor deposition. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.01AK04

  • Fabrication of Carbon Nanowalls on Carbon Fiber Paper for Fuel Cell Application 査読

    Mineo Hiramatsu, Shinji Mitsuguchi, Takeyoshi Horibe, Hiroki Kondo, Masaru Hori, Hiroyuki Kano

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs) can be described as self-assembled, vertically standing, few-layered graphene sheet nanostructures. In order to demonstrate the usefulness of CNWs in fuel cell application, CNWs were directly grown on carbon fiber paper (CFP) using the inductively coupled plasma-enhanced chemical vapor deposition (ICP-CVD) method. Subsequently, highly dispersed platinum (Pt) nanoparticles were formed on the surface of CNWs using metal-organic chemical fluid deposition (MOCFD) employing a supercritical fluid (SCF). Moreover, a single proton exchange membrane (PEM) fuel cell unit using a Pt-supported CNW/CFP electrode was constructed, and its voltage-current characteristics were measured. This configuration ensures that all the supported Pt nanoparticles are in electrical contact with the external electrical circuit. Such a design would improve Pt utilization and potentially decrease Pt usage. Pt-supported CNWs grown on CFP will be well suited to the application in electrodes of fuel cells. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.01AK03

  • Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment 査読

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   113 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Modification of an advanced ArF excimer lithographic photoresist by 400 eV Ar ion irradiation was observed in situ in real time using both infrared spectroscopy and a quartz microbalance sensor. The photoresist sputtering yields had a characteristic behavior; the sputtering yields were higher than unity at the beginning, until an ion dose of 2 x 10(16) ions cm(-2). Thereafter, the yields decreased immediately to almost zero and remained constant with the yield at zero until a dose of approximately 4 x 10(16) ions cm(-2) was reached. At larger doses, the yields increased again and reached a steady-state value of approximately 0.6. This development of the sputtering yield after the onset of ion bombardment is explained by an ion-induced modification of the photoresist that includes preferential sputtering of individual groups, argon ion implantation and the generation of voids. All these effects must be taken into account to assess line-edge-roughness on a photoresist subjected to highly energetic ion irradiation. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4772996]

    DOI: 10.1063/1.4772996

  • Silicon full wafer bonding with atomic layer deposited titanium dioxide and aluminum oxide intermediate films

    R. L. Puurunen, T. Suni, O. M.E. Ylivaara, H. Kondo, M. Ammar, T. Ishida, H. Fujita, A. Bosseboeuf, S. Zaima, H. Kattelus

    Sensors and Actuators, A: Physical   188   268 - 276   2012年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    Silicon-on-insulator (SOI) wafers made by direct wafer bonding are widely used as starting substrates for microelectromechanical systems (MEMS) fabrication. Adding another layer next to the SiO2 SOI, or replacing it with another material, will be a way to tailor the SOI wafers further. Atomic layer deposition (ALD) can be used to deposit pinhole-free nanometer-thin conformal and smooth inorganic films at low temperatures, making it of interest for many applications in MEMS. In this work, the direct wafer bonding of ALD TiO2, and Al2O3 for reference, is investigated, eventually in order to fabricate SOI wafers with buried ALD oxides. Finding suitable process conditions for TiO2 bonding was challenging: bonding could not be made for TiO2 deposited directly on SiO2 or Si, and annealing at 1100°C gave non-continuous Ti-containing layers. Using a 2-nm Al2O3 under-layer and annealing at 700°C gave void-free bonding with continuous TiO2 and a bond strength of approximately 1600 mJ/m2, enabling the fabrication of SOI wafers with buried TiO2. © 2012 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.sna.2012.05.006

  • Individual Roles of Atoms and Ions during Hydrogen Plasma Passivation of Surface Defects on GaN Created by Plasma Etching 査読

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 11 )   111002-1:5   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In the recovery of photoluminescence intensities for band-edge emissions at around 3.47 eV in the case of gallium nitride (GaN), we have studied the individual roles of hydrogen atoms (H) and hydrogen ions (H-n(+)). Surface defects such as nitrogen vacancies created by plasma etching were passivated by H termination. By utilizing hydrogen plasmas, we clarified the recovery efficiency by optical and stoichiometrical improvements with respect to the balance between the fluxes of H and H-n(+). By deflecting H-n(+) by applying an electric field, the efficiency was improved using an identical H dosage, since the simultaneous irradiation of the energetic H-n(+) promoted the desorption of the formed passivated Ga-H bonds. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.111002

  • Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells 査読

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   101 ( 17 )   pp.172109-1:4   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The properties of thin-film silicon grown by plasma enhanced chemical vapor deposition were investigated with respect to the flux ratio of hydrogen radical to film precursor. The absolute density and translational temperature of H radicals in SiH4/H-2 capacitively coupled plasma were measured using vacuum ultraviolet laser absorption spectroscopy. The flux of effective H radicals to the surface reactions was estimated from the results. The flux of effective film precursor for deposition was estimated from the deposition rate, so that the critical flux ratio of H radicals to film precursor to obtain suitable film properties was identified to be approximately 65-70. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.4764065]

    DOI: 10.1063/1.4764065

  • Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas 査読

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   101 ( 1 )   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report the kinetic analysis of free radicals on fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge using real time in situ electron spin resonance (ESR) measurements. We have obtained information that the ESR signal from the spores was observed and preliminarily assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal is possibly linked to the inactivation of the fungal spore. The real-time in situ ESR has proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.4733387]

    DOI: 10.1063/1.4733387

  • Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas 査読

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   101 ( 1 )   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report the kinetic analysis of free radicals on fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge using real time in situ electron spin resonance (ESR) measurements. We have obtained information that the ESR signal from the spores was observed and preliminarily assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal is possibly linked to the inactivation of the fungal spore. The real-time in situ ESR has proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.4733387]

    DOI: 10.1063/1.4733387

  • Genetic Characterization of Human Influenza Viruses in the Pandemic (2009-2010) and Post-Pandemic (2010-2011) Periods in Japan 査読

    Isolde C. Dapat, Clyde Dapat, Tatiana Baranovich, Yasushi Suzuki, Hiroki Kondo, Yugo Shobugawa, Reiko Saito, Hiroshi Suzuki

    PLOS ONE   7 ( 6 )   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Background: Pandemic influenza A(H1N1) 2009 virus was first detected in Japan in May 2009 and continued to circulate in the 2010-2011 season. This study aims to characterize human influenza viruses circulating in Japan in the pandemic and post-pandemic periods and to determine the prevalence of antiviral-resistant viruses.Methods: Respiratory specimens were collected from patients with influenza-like illness on their first visit at outpatient clinics during the 2009-2010 and 2010-2011 influenza seasons. Cycling probe real-time PCR assays were performed to screen for antiviral-resistant strains. Sequencing and phylogenetic analysis of the HA and NA genes were done to characterize circulating strains.Results and Conclusion: In the pandemic period (2009-2010), the pandemic influenza A(H1N1) 2009 virus was the only circulating strain isolated. None of the 601 A(H1N1) pdm09 virus isolates had the H275Y substitution in NA (oseltamivir resistance) while 599/601 isolates (99.7&#37;) had the S31N substitution in M2 (amantadine resistance). In the post-pandemic period (2010-2011), cocirculation of different types and subtypes of influenza viruses was observed. Of the 1,278 samples analyzed, 414 (42.6&#37;) were A(H1N1) pdm09, 525 (54.0&#37;) were A(H3N2) and 33 (3.4&#37;) were type-B viruses. Among A(H1N1) pdm09 isolates, 2 (0.5&#37;) were oseltamivir-resistant and all were amantadine-resistant. Among A(H3N2) viruses, 520 (99.0&#37;) were amantadine-resistant. Sequence and phylogenetic analyses of A(H1N1) pdm09 viruses from the post-pandemic period showed further evolution from the pandemic period viruses. For viruses that circulated in 2010-2011, strain predominance varied among prefectures. In Hokkaido, Niigata, Gunma and Nagasaki, A(H3N2) viruses (A/Perth/16/2009-like) were predominant whereas, in Kyoto, Hyogo and Osaka, A(H1N1) pdm09 viruses (A/New_York/10/2009-like) were predominant. Influenza B Victoria(HA)-Yamagata(NA) reassortant viruses (B/Brisbane/60/2008-like) were predominant while a small proportion was in Yamagata lineage. Genetic variants with mutations at antigenic sites were identified in A(H1N1) pdm09, A(H3N2) and type-B viruses in the 2010-2011 season but did not show a change in antigenicity when compared with respective vaccine strains.

    DOI: 10.1371/journal.pone.0036455

  • Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature 査読

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, Masaru Hori

    AIP ADVANCES   2 ( 2 )   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The effect of in-situ exposure of n-GaN damaged by Cl-2 plasma to atomic hydrogen (H radicals) at room temperature was investigated. We found that the PL intensities of the band-edge emission, which had been drastically reduced by plasma-beam irradiation at a Cl ion dose of 5 x 10(16) cm(-2), recovered to values close to those of as-grown samples after H radical exposure at a dose of 3.8 x 10(17) cm(-2). XPS revealed the appearance of a peak at a binding energy of 18.3 eV, which is tentatively assigned to Ga-H, and confirmed the removal of Cl after Hradical exposure. Copyright 2012 Author(s). This article is distributed under a Creative Commons Attribution 3.0 Unported License. [http://dx.doi.org/10.1063/1.4729448]

    DOI: 10.1063/1.4729448

  • Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, Masaru Hori

    AIP ADVANCES   2 ( 2 )   pp.022149-1:6   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The effect of in-situ exposure of n-GaN damaged by Cl-2 plasma to atomic hydrogen (H radicals) at room temperature was investigated. We found that the PL intensities of the band-edge emission, which had been drastically reduced by plasma-beam irradiation at a Cl ion dose of 5 x 10(16) cm(-2), recovered to values close to those of as-grown samples after H radical exposure at a dose of 3.8 x 10(17) cm(-2). XPS revealed the appearance of a peak at a binding energy of 18.3 eV, which is tentatively assigned to Ga-H, and confirmed the removal of Cl after Hradical exposure. Copyright 2012 Author(s). This article is distributed under a Creative Commons Attribution 3.0 Unported License. [http://dx.doi.org/10.1063/1.4729448]

    DOI: 10.1063/1.4729448

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiromasa Tanaka, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    APPLIED PHYSICS LETTERS   100 ( 11 )   10.1063/1.3694928   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Two independent ovarian cancer cell lines and fibroblast controls were treated with nonequilibrium atmospheric pressure plasma (NEAPP). Most ovarian cancer cells were detached from the culture dish by continuous plasma treatment to a single spot on the dish. Next, the plasma source was applied over the whole dish using a robot arm. In vitro cell proliferation assays showed that plasma treatments significantly decreased proliferation rates of ovarian cancer cells compared to fibroblast cells. Flow cytometry and western blot analysis showed that plasma treatment of ovarian cancer cells induced apoptosis. NEAPP could be a promising tool for therapy for ovarian cancers. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.3694928]

    DOI: 10.1063/1.3694928

  • Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma 査読

    Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   5 ( 3 )   pp. 035101-1:3   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Ultrahigh-speed synthesis of high-crystallinity nanographene was realized using an alcohol in-liquid plasma, which was generated from a nonequilibrium microhollow atmospheric-pressure plasma with an ultrahigh electron density. The synthesis rates of carbon materials were 0.61 and 1.72 mg/min using ethanol and butanol, respectively. Multilayer nanographene structures obtained using ethanol had an interlayer spacing of 0.33 nm, corresponding to that of (002) planes in graphite. The G-, D-, D'-, and 2D- band peaks in the Raman spectrum also confirmed the formation of nanographene. The mechanism of gradual growth of six-membered ring structures was clarified by gas chromatography of the filtrate. (c) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.5.035101

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma 査読

    Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiromasa Tanaka, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    APPLIED PHYSICS LETTERS   100 ( 11 )   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Two independent ovarian cancer cell lines and fibroblast controls were treated with nonequilibrium atmospheric pressure plasma (NEAPP). Most ovarian cancer cells were detached from the culture dish by continuous plasma treatment to a single spot on the dish. Next, the plasma source was applied over the whole dish using a robot arm. In vitro cell proliferation assays showed that plasma treatments significantly decreased proliferation rates of ovarian cancer cells compared to fibroblast cells. Flow cytometry and western blot analysis showed that plasma treatment of ovarian cancer cells induced apoptosis. NEAPP could be a promising tool for therapy for ovarian cancers. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.3694928]

    DOI: 10.1063/1.3694928

  • Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation 査読

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 2 )   2012年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Photon-enhanced etching of SiNx:H films caused by the interaction between vacuum ultraviolet (VUV)/ultraviolet (UV) radiation and radicals in the fluorocarbon plasma was investigated by a technique with a novel sample setup of the pallet for plasma evaluation. The simultaneous injection of UV radiation and radicals causes a dramatic etch rate enhancement of SiNx:H films. Only UV radiation causes the film shrinkage of SiNx:H films owing to hydrogen desorption from the film. Capacitance-voltage characteristics of SiNx:H/Si substrates were studied before and after UV radiation. The interface trap density increased monotonically upon irradiating the UV photons with a wavelength of 248 nm. The estimated effective interface trap generation probability is 4.74 x 10(-7) eV(-1 center dot)photon(-1). Therefore, the monitoring of the VUV/UV spectra during plasma processing and the understanding of its impact on the surface reaction, film damage and electrical performance of underlying devices are indispensable to fabricate advanced devices. (c) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.026201

  • Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation 査読

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 2 )   2012年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Photon-enhanced etching of SiNx:H films caused by the interaction between vacuum ultraviolet (VUV)/ultraviolet (UV) radiation and radicals in the fluorocarbon plasma was investigated by a technique with a novel sample setup of the pallet for plasma evaluation. The simultaneous injection of UV radiation and radicals causes a dramatic etch rate enhancement of SiNx:H films. Only UV radiation causes the film shrinkage of SiNx:H films owing to hydrogen desorption from the film. Capacitance-voltage characteristics of SiNx:H/Si substrates were studied before and after UV radiation. The interface trap density increased monotonically upon irradiating the UV photons with a wavelength of 248 nm. The estimated effective interface trap generation probability is 4.74 x 10(-7) eV(-1 center dot)photon(-1). Therefore, the monitoring of the VUV/UV spectra during plasma processing and the understanding of its impact on the surface reaction, film damage and electrical performance of underlying devices are indispensable to fabricate advanced devices. (c) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.026201

  • Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments 査読

    Hitoshi Watanabe, Hiroki Kondo, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 1 )   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Super hydrophobic and super hydrophilic surface treatment methods for carbon nanowalls (CNWs) which are carbon nanostructures consisting of stacks of graphene sheets vertically standing on substrates and having ultra high aspect ratios of over 50, were developed using atmospheric pressure plasma, and their mechanisms were discussed on the basis of the elemental compositions of surfaces. The contact angle of water droplet on CNWs markedly decreased from 137.3 to 6.2 degrees with the atmospheric pressure plasma treatments using Ar gas. This indicates the super hydrophobic and super hydrophilic transition of CNW surfaces. Although a morphological change was hardly found, the surface compositions of fluorine and oxygen atoms markedly changed after the plasma treatment for only 5s. Furthermore, the treatment time dependence of the surface tension of CNWs indicates two different mechanisms at earlier and later stages of surface modification using atmospheric pressure plasma. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.01AJ07

  • Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature 査読

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 1 )   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The precise etching of organic films with a low dielectric constant (low-k) in a dual-frequency capacitively coupled plasma etching reactor with a plasma generation of 100 MHz and an applied bias of 2 MHz employing a gas mixture of hydrogen and nitrogen was performed by real-time control of the densities of hydrogen (H) and nitrogen (N) radicals based on real-time measurement of the Si substrate temperature. H and N radical densities were monitored near the sidewall of the reactor by vacuum ultraviolet absorption spectroscopy, and temperature was monitored by an optical fiber-type low-coherence interferometer. On the basis of the results of surface analysis by X-ray photoelectron spectroscopy, etched profiles were effectively determined from the chemical component of protection layers on the sidewall of the etched pattern affected by the ratio of H/(H + N) and substrate temperature. As the etching feature evolves, the ratio of radical density should be controlled temporally to maintain vertical profiles according to the change in substrate temperature. As a result, we have successfully realized an organic film with a vertical feature. These results indicate the need for autonomous control of the etch process based on real-time information on the plasma process for the next-generation ultrafine etching. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.016202

  • Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC 査読

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   45 ( 2 )   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Superpositioning of negative dc bias in dual-frequency capacitively coupled plasmas (dc-superposed (DS)-CCP) was realized for the selective etching of carbon-doped silicon oxide (SiOCH) films over carbon-doped amorphous silicon (SiC) films, while the dc bias exceeded about -800 V. When a dc bias of -1200 V was superposed on 60 MHz VHF power on the top electrode opposed to a wafer on the bottom electrode biased with 13.56 MHz power, a selectivity of above 50 for SiOCH over SiC was obtained. From characterization of the plasma density and various chemical species in the gaseous phase, such as CF(2), CF and atomic N, the density of CF(2) significantly decreased with the application of dc bias ranging from -800 to -1200V. This indicated that CF(2) radicals were consumed at the surface of the counter electrode which was made of silicon. The bulk densities of the species including CF(2) were decreased, especially due to excess surface loss caused by the bombardment of highly energetic ions accelerated by the superposed dc bias, as well as the rf sheath for the superposition of the negative dc bias. The DS-CCP technology is thus concluded to be indispensable for yielding highly selective etching of SiOCH over SiC.

    DOI: 10.1088/0022-3727/45/2/025203

  • Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments 査読

    Hitoshi Watanabe, Hiroki Kondo, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 ( 1 )   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Super hydrophobic and super hydrophilic surface treatment methods for carbon nanowalls (CNWs) which are carbon nanostructures consisting of stacks of graphene sheets vertically standing on substrates and having ultra high aspect ratios of over 50, were developed using atmospheric pressure plasma, and their mechanisms were discussed on the basis of the elemental compositions of surfaces. The contact angle of water droplet on CNWs markedly decreased from 137.3 to 6.2 degrees with the atmospheric pressure plasma treatments using Ar gas. This indicates the super hydrophobic and super hydrophilic transition of CNW surfaces. Although a morphological change was hardly found, the surface compositions of fluorine and oxygen atoms markedly changed after the plasma treatment for only 5s. Furthermore, the treatment time dependence of the surface tension of CNWs indicates two different mechanisms at earlier and later stages of surface modification using atmospheric pressure plasma. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.01AJ07

  • Chemical bond modification in porous SiOCH films by H-2 and H-2/N-2 plasmas investigated by in situ infrared reflection absorption spectroscopy 査読

    Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   110 ( 12 )   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The modification of porous low-dielectric (low-k) SiOCH films by ashing plasma irradiation and subsequent exposure to air was investigated by in situ characterizations. Porous blanket SiOCH film surfaces were treated by a H-2 or H-2/N-2 plasma in a 100-MHz capacitively coupled plasma reactor. The individual or combined effects of light, radicals, and ions generated by the plasmas on the chemical bonds in the porous SiOCH films were characterized using an in situ evaluation and by in situ Fourier-transform infrared reflection absorption spectroscopy (IR-RAS). In situ IR-RAS analysis revealed that the number of Si-OH, Si-H, and Si-NH2 bonds increased while the number of Si-CH3 bonds decreased during exposure to a H-2 or H-2/N-2 plasma. Subsequent air exposure increased the number of Si-OH bonds by modifying Si-O-Si structures. The experimental results indicate that light emitted from a H-2 or H-2/N-2 plasma can break Si-CH3 and Si-O-Si bonds and thereby generate dangling bonds. Radicals (e. g., NxHy and H radicals) can break Si-CH3 and Si-O-Si bonds and Si-NH2, Si-H, and Si-OH bonds could be formed. Si-NH2, Si-H, and dangling bonds react with moisture in the air cause the formation of Si-OH bonds. The dehydroxylation reaction on Si-OH was found to be the origin of Si-O-Si network structures. The mechanism of the degradation of porous low-k SiOCH films induced by a H-2 or H-2/N-2 plasma is discussed based on the in situ characterization results. (C) 2011 American Institute of Physics. [doi: 10.1063/1.3671547]

    DOI: 10.1063/1.3671547

  • Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls 査読

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, Mineo Hiramatsu

    APPLIED PHYSICS LETTERS   99 ( 21 )   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The electronic and crystal structures of carbon nanowalls (CNWs) were analyzed using synchrotron x-rays. Although they have branchless graphene sheets with high crystallinities comparable to those of highly oriented pyrolytic graphite, they also have small amount of fluorine atoms and slightly large interlayer spacing of basal plane. Soft x-ray emission spectra indicate that CNWs have similar but not identical electronic structures to HOPG. Such the chemical and crystallographic structures might be one of factors to induce characteristic electrical features of the CNWs. (C) 2011 American Institute of Physics. [doi:10.1063/1.3659470]

    DOI: 10.1063/1.3659470

  • Control of Interfacial Properties of Al2O3/Ge Gate Stack Structure Using Radical Nitridation Technique 査読

    Kimihiko Kato, Shinya Kyogoku, Mitsuo Sakashita, Wakana Takeuchi, Hiroki Kondo, Shotaro Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 10 )   2011年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the control of the interfacial properties of Al2O3/Ge gate stack structures by the radical nitridation technique. In the Al2O3/Ge structures formed by the atomic layer deposition method, the interface state density increases with the deposition temperature due to the decrease in the thickness of the Ge oxide interlayer. On the other hand, the hysteresis width of the capacitance-voltage (C-V) characteristics decreases with increasing deposition temperature, which indicates a decrease in the oxide trap density near the interface. We also investigated the control of the interfacial structure by the radical nitridation of Al2O3/Ge to form an interfacial structure after the deposition of a high-k dielectric layer. The results of X-ray photoelectron spectroscopy reveal that an Al2O3/Ge3N4/GeO2/Ge stack structure is formed after the radical nitridation owing to the minimal oxygen diffusion into the Al2O3/Ge interface. Furthermore, the interfacial mixing is suppressed after radical nitridation at less than 300 degrees C. As a result, we can decrease the interface state density of the Al2O3/Ge sample after the radical nitridation by more than one order of magnitude compared with that without radical nitridation. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.10PE02

  • Impacts of CF+, CF

    Takeuchi Takuya, Amasaki Shinpei, Kondo Hiroki, Ishikawa Kenji, Toyoda Hirotaka, Sekine Makoto, Kang Song-Yun, Sawada Ikuo, Hori Masaru

    Jpn J Appl Phys   50 ( 8 )   08JE05 - 08JE05-5   2011年8月

     詳細を見る

    記述言語:英語  

    Photoresists used in advanced ArF-excimer laser lithography are not tolerant enough for plasma etching processes. Degradation of photoresists during etching processes might cause not only low selectivity, but also line edge roughness (LER) on the sidewalls of etched patterns. For a highly accurate processing, it is necessary to understand the mechanisms of etching photoresists and to construct a new plasma chemistry that realizes a nano scale precise pattern definition. In this study, the modified layers formed on the surface of a photoresist by the bombardment of fluorocarbon ions of CF+, CF2+, and CF3+, and argon (Ar) ions were analyzed by X-ray photoelectron spectroscopy (XPS). The etching yield of the modified steady-state surface was almost dependent on the mass of incident ion species. The surface composition was modified with increasing dosage of each ion species, and reached a specific steady state that was dependent on the ion species. The bombardment of F-rich ion species such as CF2+ and CF3+ resulted in the formation of not only fluorocarbon layers, but also graphite like structures on the surface. On the basis of these results, the surface reaction for the ion-beam-induced modification was discussed.

    DOI: 10.1143/JJAP.50.08JE05

  • Impacts of CF+, CF2+, CF3+, and Ar Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist 査読

    Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 8 )   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Photoresists used in advanced ArF-excimer laser lithography are not tolerant enough for plasma etching processes. Degradation of photoresists during etching processes might cause not only low selectivity, but also line edge roughness (LER) on the sidewalls of etched patterns. For a highly accurate processing, it is necessary to understand the mechanisms of etching photoresists and to construct a new plasma chemistry that realizes a nano scale precise pattern definition. In this study, the modified layers formed on the surface of a photoresist by the bombardment of fluorocarbon ions of CF+, CF2+, and CF3+, and argon (Ar) ions were analyzed by X-ray photoelectron spectroscopy (XPS). The etching yield of the modified steady-state surface was almost dependent on the mass of incident ion species. The surface composition was modified with increasing dosage of each ion species, and reached a specific steady state that was dependent on the ion species. The bombardment of F-rich ion species such as CF2+ and CF3+ resulted in the formation of not only fluorocarbon layers, but also graphite like structures on the surface. On the basis of these results, the surface reaction for the ion-beam-induced modification was discussed. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.08JE05

  • Reactive Ion Etching of Carbon Nanowalls 査読

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki, Hiroyuki Kano, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 7 )   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Two-dimensionally standing graphene sheets, i.e., carbon nanowalls (CNWs), were synthesized on a Si substrate employing a capacitively coupled fluorocarbon plasma-enhanced chemical vapor deposition system together with H radical injection. To apply CNWs in electronic devices and/or membrane filters, we have demonstrated the reactive ion etching (RIE) of CNWs. RIE employing H-2/N-2 gases showed that the CNW films were anisotropically etched at a relatively high rate of more than 250 nm/min. However, the 10-nm-thick interface layer between a CNW film and the Si substrate remained and the interface layer was not completely etched. In contrast, RIE employing Ar/H-2 gases enabled us to completely remove the interface layer. Ar/H-2 RIE was also carried out from the bottom surface of CNW films after exfoliating them from the Si substrate. As a result, a free-standing CNW film of 550 nm thickness without an interface layer as a membrane filter was successfully formed. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.075101

  • Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen 査読

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   60 ( 1 )   70 - 74   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have demonstrated the control of interfacial properties of Pr-oxide/Ge gate stack structure by the introduction of nitrogen. From C-V characteristics of Al/Pr-oxide/Ge3N4/Ge MOS capacitors, the interface state density decreases without the change of the accumulation capacitance after annealing. The TEM and TED measurements reveal that the crystallization of Pr-oxide is enhanced with annealing and the columnar structure of cubic-Pr2O3 is formed after annealing. From the depth profiles measured using XPS with Ar sputtering for the Pr-oxide/Ge3N4/Ge stack structure, the increase in the Ge component is not observed in a Pr-oxide film and near the interface between a Fr-oxide film and a Ge substrate. In addition, the N component segregates near the interface region, amorphous Pr-oxynitride (PrON) is formed at the interface. As a result, Pr-oxide/PrON/Ge stacked structure without the Ge-oxynitride interlayer is formed. (C) 2011 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2011.01.029

  • Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study 査読

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICAL CHEMISTRY LETTERS   2 ( 11 )   1278 - 1281   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the surface modification of poly(tetrafluoroethylene) (PTFE) as an example of soft materials and biomaterials that occur under plasma discharge by kinetics analysis of radical formation using in situ real-time electron spin resonance (ESR) measurements. During irradiation with hydrogen plasma, simultaneous measurements of the gas-phase ESR signals of atomic hydrogen and the carbon dangling bond (C-DB) on PTFE were performed. Dynamic changes of the C-DB density were observed in real time, where the rate of density change was accelerated during initial irradiation and then became constant over time. It is noteworthy that C-DBs were formed synergistically by irradiation with both vacuum ultraviolet (VUV) and atomic hydrogen. The in situ real-time ESR technique is useful to elucidate synergistic roles during plasma surface modification.

    DOI: 10.1021/jz2002937

  • Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study 査読

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICAL CHEMISTRY LETTERS   2 ( 11 )   1278 - 1281   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the surface modification of poly(tetrafluoroethylene) (PTFE) as an example of soft materials and biomaterials that occur under plasma discharge by kinetics analysis of radical formation using in situ real-time electron spin resonance (ESR) measurements. During irradiation with hydrogen plasma, simultaneous measurements of the gas-phase ESR signals of atomic hydrogen and the carbon dangling bond (C-DB) on PTFE were performed. Dynamic changes of the C-DB density were observed in real time, where the rate of density change was accelerated during initial irradiation and then became constant over time. It is noteworthy that C-DBs were formed synergistically by irradiation with both vacuum ultraviolet (VUV) and atomic hydrogen. The in situ real-time ESR technique is useful to elucidate synergistic roles during plasma surface modification.

    DOI: 10.1021/jz2002937

  • Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen 査読

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   60 ( 1 )   70 - 74   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have demonstrated the control of interfacial properties of Pr-oxide/Ge gate stack structure by the introduction of nitrogen. From C-V characteristics of Al/Pr-oxide/Ge3N4/Ge MOS capacitors, the interface state density decreases without the change of the accumulation capacitance after annealing. The TEM and TED measurements reveal that the crystallization of Pr-oxide is enhanced with annealing and the columnar structure of cubic-Pr2O3 is formed after annealing. From the depth profiles measured using XPS with Ar sputtering for the Pr-oxide/Ge3N4/Ge stack structure, the increase in the Ge component is not observed in a Pr-oxide film and near the interface between a Fr-oxide film and a Ge substrate. In addition, the N component segregates near the interface region, amorphous Pr-oxynitride (PrON) is formed at the interface. As a result, Pr-oxide/PrON/Ge stacked structure without the Ge-oxynitride interlayer is formed. (C) 2011 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2011.01.029

  • Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition 査読

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano

    APPLIED PHYSICS LETTERS   98 ( 19 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    An ultrahigh density over 10(13) cm(-2) of 2 nm diameter Pt nanoparticles was obtained by metal-organic chemical supercritical fluid deposition over the entire surface of vertically standing stacked graphene sheets (carbon nanowalls) on a substrate. The correlation between the surface defect density of graphene sheets and the density of Pt nanoparticles were investigated to clarify the support mechanism. The density of Pt nanoparticles increased with increase in the surface defect density. In addition, the semispherical cross-sectional shape of the nanoparticles indicated nucleation at the surface defects on the graphene sheets (98/100). (C) 2011 American Institute of Physics. [doi: 10.1063/1.3583672]

    DOI: 10.1063/1.3583672

  • Radical-controlled plasma processing for nanofabrication 査読

    Masaru Hori, Hiroki Kondo, Mineo Hiramatsu

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   44 ( 17 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Nanofabrication processes employing reactive plasma, such as etching and deposition, were discussed in this paper on the basis of knowledge of reactive species in the plasma. The processing characteristics were studied based on the absolute density measurements of radicals and ions. In the case of organic low-k film etching employing N-H plasma, H and N radicals have different roles from each other; the H radicals contribute to the chemical etching, while the N radicals form the protection layer. Therefore, the ratio of H and N radical densities is an important factor for determining the etching performance. Furthermore, the radical injection technique, an active way to control the composition of radicals in the reaction field, was successfully applied to grow carbon nanowalls, self-organized, free-standing, layered graphenes. For example, with increasing density ratio of H and fluorocarbon (CFx) radicals, the density of carbon nanowalls decreases. In addition, according to the carbon nanowalls' growth by the simultaneous irradiation of CFx radicals, hydrogen atoms and Ar ions, the ion bombardment is crucial for the nucleation and vertical growth of carbon nanowalls. Identification and characterization of radicals and ions in the processing plasma could open the way to the precise controls of nano-scale plasma processing.

    DOI: 10.1088/0022-3727/44/17/174027

  • Radical-controlled plasma processing for nanofabrication 査読

    Masaru Hori, Hiroki Kondo, Mineo Hiramatsu

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   44 ( 17 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Nanofabrication processes employing reactive plasma, such as etching and deposition, were discussed in this paper on the basis of knowledge of reactive species in the plasma. The processing characteristics were studied based on the absolute density measurements of radicals and ions. In the case of organic low-k film etching employing N-H plasma, H and N radicals have different roles from each other; the H radicals contribute to the chemical etching, while the N radicals form the protection layer. Therefore, the ratio of H and N radical densities is an important factor for determining the etching performance. Furthermore, the radical injection technique, an active way to control the composition of radicals in the reaction field, was successfully applied to grow carbon nanowalls, self-organized, free-standing, layered graphenes. For example, with increasing density ratio of H and fluorocarbon (CFx) radicals, the density of carbon nanowalls decreases. In addition, according to the carbon nanowalls' growth by the simultaneous irradiation of CFx radicals, hydrogen atoms and Ar ions, the ion bombardment is crucial for the nucleation and vertical growth of carbon nanowalls. Identification and characterization of radicals and ions in the processing plasma could open the way to the precise controls of nano-scale plasma processing.

    DOI: 10.1088/0022-3727/44/17/174027

  • Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition 査読

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano

    APPLIED PHYSICS LETTERS   98 ( 19 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    An ultrahigh density over 10(13) cm(-2) of 2 nm diameter Pt nanoparticles was obtained by metal-organic chemical supercritical fluid deposition over the entire surface of vertically standing stacked graphene sheets (carbon nanowalls) on a substrate. The correlation between the surface defect density of graphene sheets and the density of Pt nanoparticles were investigated to clarify the support mechanism. The density of Pt nanoparticles increased with increase in the surface defect density. In addition, the semispherical cross-sectional shape of the nanoparticles indicated nucleation at the surface defects on the graphene sheets (98/100). (C) 2011 American Institute of Physics. [doi: 10.1063/1.3583672]

    DOI: 10.1063/1.3583672

  • Spatial Distributions of Electron, CF, and CF2 Radical Densities and Gas Temperature in DC-Superposed Dual-Frequency Capacitively Coupled Plasma Etch Reactor Employing Cyclic-C4F8/N-2/Ar Gas 査読

    Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 5 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    On a plasma etch reactor for a wafer of 300 mm in diameter, the spatial distributions of the absolute densities of CF and CF2 radicals, electron density (n(e)), and the gas temperature (T-g) of N-2 were measured employing the dual frequency of negative dc voltage superposed to a very high frequency (VHF) of 60 MHz capacitively coupled plasma (DS-2f-CCP) with the cyclic-(c-)C4F8/Ar/N-2 gas mixture. The dc bias was superposed on the upper electrode with a frequency of 60 MHz. The distributions of electron and radical densities were uniform within a diameter of about 260 mm, and took a monotonic decay in regions outside a diameter of 260 mm on the reactor for 300mm wafers in the reactor. It was found that only CF2 density at the radial position between 150 and 180 mm, corresponding to the position of the Si focus ring, dropped, while CF density took a uniform distribution over a diameter of 260 mm. Additionally, at this position, the rotational temperature of N-2 gas increased to be 100 K larger than that at the center position. CF2 radical density was markedly affected by the modified surface loss probability of the material owing to coupling with surface temperature. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.056101

  • Analysis of Local Leakage Current of Pr-Oxide Thin Films with Conductive Atomic Force Microscopy

    Masaki Adachi, Yuzo Kato, Kimihiko Kato, Mitsuo Sakashita, Hiroki Kondo, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 4 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the local leakage current in Pr-oxide thin films formed on Si(001) and Si(111) substrate by conductive atomic force microscopy. In Pr-oxide films formed on Si(111) substrates, many current leakage spots are observed in current images compared to that on Si(001) substrates. We found that the current conduction mechanism in Pr-oxide films is considered a Poole-Frenkel conduction. The X-ray photoelectron spectroscopy analysis revealed that cubic Pr2O3 is preferentially formed near the Pr-oxide/Si(111) interface compared with Si(001) samples. We also found that O-2 annealing effectively reduces the leakage current in Pr-oxide films. It is considered that oxygen vacancies in Pr-oxide dominate the leakage current of oxide thin films. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.04DA08

  • Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy 査読

    Z. H. Wu, Y. Kawai, Y. -Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, H. Amano

    APPLIED PHYSICS LETTERS   98 ( 14 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In this letter, we have investigated the structural properties of thick InGaN layers grown on GaN by plasma-assisted molecular beam epitaxy, using two growth rates of 1.0 and 3.6 angstrom/s. A highly regular superlattice (SL) structure is found to be spontaneously formed in the film grown at 3.6 angstrom/s but not in the film grown at 1.0 angstrom/s. The faster grown film also exhibits superior structural quality, which could be due to the surface roughness suppression caused by kinetic limitation, and the inhibition of the Frank-Read dislocation generation mechanism within the spontaneously formed SL structure. (C) 2011 American Institute of Physics. [doi: 10.1063/1.3574607]

    DOI: 10.1063/1.3574607

  • Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr Oxide/PrON/Ge Gate Stack Structure 査読

    Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 4 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In this study, we investigated the valence state and chemical bonding state of Pr in a Pr oxide/PrON/Ge structure. We clarified the relationship between the valence state of Pr and the Pr oxide/Ge interfacial reaction using Pr oxide/Ge and Pr oxide/PrON/Ge samples. We found the formation of three Pr oxide phases in Pr oxide films; hexagonal Pr2O3 (h-Pr2O3) (Pr3+), cubic Pr2O3 (c-Pr2O3) (Pr3+), and c-PrO2 (Pr4+). We also investigated the effect of a nitride interlayer on the interfacial reaction in Pr oxide/Ge gate stacks. In a sample with a nitride interlayer (Pr oxide/PrON/Ge), metallic Pr-Pr bonds are also formed in the c-Pr2O3 film. After annealing in H-2 ambient, the diffusion of Ge into Pr oxide is not observed in this sample. Pr-Pr bonds probably prevent the interfacial reaction and Ge oxide formation, considering that the oxygen chemical potential of this film is lower than that of a GeO2/Ge system. On the other hand, the rapid thermal oxidation (RTO) treatment terminates the O vacancies and defects in c-Pr2O3. As a result, c-PrO2 with tetravalent Pr is formed in the Pr oxide/PrON/Ge sample with RTO. In this sample, the leakage current density is effectively decreased in comparison with the sample without RTO. Hydrogen termination works effectively in Pr oxide/PrON/Ge samples with and without RTO, and we can achieve an interface state density of as low as 4 x 10(11) eV(-1) .cm(-2). (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.04DA17

  • Analysis of Local Leakage Current of Pr-Oxide Thin Films with Conductive Atomic Force Microscopy 査読

    Masaki Adachi, Yuzo Kato, Kimihiko Kato, Mitsuo Sakashita, Hiroki Kondo, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 4 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the local leakage current in Pr-oxide thin films formed on Si(001) and Si(111) substrate by conductive atomic force microscopy. In Pr-oxide films formed on Si(111) substrates, many current leakage spots are observed in current images compared to that on Si(001) substrates. We found that the current conduction mechanism in Pr-oxide films is considered a Poole-Frenkel conduction. The X-ray photoelectron spectroscopy analysis revealed that cubic Pr2O3 is preferentially formed near the Pr-oxide/Si(111) interface compared with Si(001) samples. We also found that O-2 annealing effectively reduces the leakage current in Pr-oxide films. It is considered that oxygen vacancies in Pr-oxide dominate the leakage current of oxide thin films. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.04DA08

  • Electron field emission enhancement of carbon nanowalls by plasma surface nitridation

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS LETTERS   98 ( 12 )   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs) are two-dimensional carbon nanostructures consisting of stacked graphene sheets standing vertically on the substrate. The sharp edges of CNWs provide us with opportunities for applications as electron field emitter arrays. The effects of nitrogen plasma (NP) treatment on the surface of CNWs have been investigated in order to improve the electron field emission properties. The electron emission current from the edges of CNWs was drastically increased by the NP treatment. Morphological and chemical changes in the CNWs after the NP treatment were characterized using scanning electron microscopy, Raman spectroscopy, and x-ray photoelectron spectroscopy. (C) 2011 American Institute of Physics. [doi:10.1063/1.3532114]

    DOI: 10.1063/1.3532114

  • Electron field emission enhancement of carbon nanowalls by plasma surface nitridation 査読

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS LETTERS   98 ( 12 )   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs) are two-dimensional carbon nanostructures consisting of stacked graphene sheets standing vertically on the substrate. The sharp edges of CNWs provide us with opportunities for applications as electron field emitter arrays. The effects of nitrogen plasma (NP) treatment on the surface of CNWs have been investigated in order to improve the electron field emission properties. The electron emission current from the edges of CNWs was drastically increased by the NP treatment. Morphological and chemical changes in the CNWs after the NP treatment were characterized using scanning electron microscopy, Raman spectroscopy, and x-ray photoelectron spectroscopy. (C) 2011 American Institute of Physics. [doi:10.1063/1.3532114]

    DOI: 10.1063/1.3532114

  • Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet 査読

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori

    APPLIED PHYSICS EXPRESS   4 ( 2 )   026101   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A non-equilibrium atmospheric pressure plasma jet excited by 60-Hz ac power was diagnosed by laser Thomson and laser Raman scattering. We obtained the spatial distributions of the electron density, electron temperature, and gas temperature. The results show that the plasma can generate an electron density of up to 10(21) m(-3), an electron temperature of approximately 1 eV, and a gas temperature as low as approximately 700 K, indicating that the plasma is in the non-equilibrium state. The laser scattering diagnostic method and the obtained data are useful in the application of the non-equilibrium atmospheric pressure plasma jet. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.4.026101

  • Pr(EtCp)_3を用いた原子層成長法によるPr酸化膜の作製とその電気的特性 査読

    近藤 博基, 坂下 満男, 財満 鎭明

    真空   54 ( 2 )   110 - 113   2011年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    &nbsp;&nbsp;Growth properties and electrical properties of Pr oxide films by an atomic layer deposition (ALD) technique using Pr(EtCp)3 are discussed in this paper. Slef-limiting growth of Pr oxide films at a rate of 0.07 nm/cycle and a thickness variation of less than 2&#37; on 3-in. Si wafers were obtained. Polycrystalline cubic Pr2O3 films were grown on Si(001) substrates, while epitaxial growth of the cubic Pr2O3 film was found on a Si(111) substrate. Relatively fine capacitance-voltage curves were obtained for the Al/ALD-Pr oxide/Si(001) capacitors. The interface state density between the 130&deg;C-grown ALD-Pr oxide film and the Si(001) substrate is about 1&times;1011 cm&minus;2 eV&minus;1. The dielectric constant of the ALD-Pr oxide film grown at 250&deg;C was determined to be about 18, assuming that the dielectric constant of the interlayer is similar to that of SiO2.

    DOI: 10.3131/jvsj2.54.110

  • Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet 査読

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori

    APPLIED PHYSICS EXPRESS   4 ( 2 )   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A non-equilibrium atmospheric pressure plasma jet excited by 60-Hz ac power was diagnosed by laser Thomson and laser Raman scattering. We obtained the spatial distributions of the electron density, electron temperature, and gas temperature. The results show that the plasma can generate an electron density of up to 10(21) m(-3), an electron temperature of approximately 1 eV, and a gas temperature as low as approximately 700 K, indicating that the plasma is in the non-equilibrium state. The laser scattering diagnostic method and the obtained data are useful in the application of the non-equilibrium atmospheric pressure plasma jet. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.4.026101

  • Al2O3界 面層およびラジカル窒化法によるHigh-k/Ge界面構造および電気的特性の制御

    加藤公彦, 京極真也, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   55-58   2011年1月

     詳細を見る

    記述言語:日本語  

  • 電流検出型原子間力顕微鏡を 用いた欠陥に起因するPr酸化膜のリーク電流機構の解明

    足立正樹, 加藤雄三, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   123-126   2011年1月

     詳細を見る

    記述言語:日本語  

  • Pr酸化膜/Si構造へのAl導入による界面反応抑制効果

    古田和也, 竹内和歌奈, 加藤公彦, 坂下満男, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   51-54   2011年1月

     詳細を見る

    記述言語:日本語  

  • Pr酸化膜/PrON/Ge構造におけるPrの化学結合状態が電気的特性に及ぼす影響

    加藤公彦, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会   99-102   2011年1月

     詳細を見る

    記述言語:日本語  

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas 査読

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 1 )   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    For an innovation of molecular-beam-epitaxial (MBE) growth of gallium nitride (GaN), the measurements of absolute densities of N, H, and NH3 at the remote region of the radical source excited by plasmas have become absolutely imperative. By vacuum ultraviolet absorption spectroscopy (VUVAS) at a relatively low pressure of about 1 Pa, we obtained a N atom density of 9 x 10(12) cm(-3) for a pure nitrogen gas used, a H atom density of 7 x 10(12) cm(-3) for a gas composition of 80&#37; hydrogen mixed with nitrogen gas were measured. The maximum density 2 x 10(13) cm(-3) of NH3 was measured by quadruple mass spectrometry (QMS) at H-2/(N-2 + H-2) = 60&#37;. Moreover, we found that N atom density was considerably affected by processing history, where the characteristic instability was observed during the pure nitrogen plasma discharge sequentially after the hydrogen-containing plasma discharge. These results indicate imply the importance of establishing radical-based processes to control precisely the absolute densities of N, H, and NH3 at the remote region of the radical source. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.01AE03

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas 査読

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 1 )   01AE03   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    For an innovation of molecular-beam-epitaxial (MBE) growth of gallium nitride (GaN), the measurements of absolute densities of N, H, and NH3 at the remote region of the radical source excited by plasmas have become absolutely imperative. By vacuum ultraviolet absorption spectroscopy (VUVAS) at a relatively low pressure of about 1 Pa, we obtained a N atom density of 9 x 10(12) cm(-3) for a pure nitrogen gas used, a H atom density of 7 x 10(12) cm(-3) for a gas composition of 80&#37; hydrogen mixed with nitrogen gas were measured. The maximum density 2 x 10(13) cm(-3) of NH3 was measured by quadruple mass spectrometry (QMS) at H-2/(N-2 + H-2) = 60&#37;. Moreover, we found that N atom density was considerably affected by processing history, where the characteristic instability was observed during the pure nitrogen plasma discharge sequentially after the hydrogen-containing plasma discharge. These results indicate imply the importance of establishing radical-based processes to control precisely the absolute densities of N, H, and NH3 at the remote region of the radical source. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.01AE03

  • Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry 査読

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   49 ( 6 )   060220   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Carbon nanowalls (CNWs), vertically standing graphene sheets, grown by the radical injection plasma-enhanced chemical vapor deposition system were analyzed by spectroscopic ellipsometry. The refractive indexes (n), extinction coefficients (k), and optical band gaps (E(g)) of evolutionary growth layers were evaluated using the Tauc-Lorentz model with the effective medium approximation. It was observed that an amorphous carbon interfacial layer with n of 1.9-2.0 was formed prior to the growth of CNWs with n of 1.2-1.5. Moreover, the imaginary parts of complex dielectric functions analyzed using the Tauc-Lorentz model indicate the possibility that the CNWs have semiconducting features. (C) 2010 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.49.060220

  • Formation processes of Ge3N4 films by radical nitridation and their electrical properties 査読

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Shigeaki Zaima

    THIN SOLID FILMS   518 ( 6 )   S226 - S230   2010年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Formation processes of Ge3N4 by radical nitridation and electrical properties of Pr-oxide/Ge3N4/Ge structure were investigated. Stoichiometric Ge3N4 is Successfully formed by the radical nitridation at temperatures from 50 to 600 degrees C. Change in the nitridation temperature dependence of the saturated thickness of the Ge3N4 suggests different dominant diffusion species. Leakage current density through the Ge3N4 is minimized at a nitridation temperature of 300 degrees C. The XPS analyses of the Pr-oxide/Ge3N4/Ge suggest decomposition of Ge3N4 during atomic layer deposition of the Pr-oxide and formation of Pr-oxynitride at the Pr-oxide/Ge interface. An interface state density in the Al/Pr-oxide/Ge3N4/Ge capacitor is drastically reduced by forming gas annealing. (C) 2009 Published by Elsevier B.V.

    DOI: 10.1016/j.tsf.2009.10.094

  • Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor 査読

    Hiroki Kondo, Shinnya Sakurai, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    APPLIED PHYSICS LETTERS   96 ( 1 )   012105   2010年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Praseodymium (Pr) oxide films were grown by metal-organic chemical-vapor-deposition (CVD) using Pr(EtCp)(3). Using H2O as an oxidant, Pr2O3 films with columnar structures are formed and its C concentration can be reduced to about one-tenth compared with the case using O-2. Activation energy of 0.37 eV is derived for this CVD using H2O. This CVD-Pr oxide film deposited at 300 degrees C has a dielectric constant of 26 +/- 3. Furthermore, conduction band offset of 1.0 +/- 0.1 eV and trap levels of 0.40 +/- 0.02 and 0.22 +/- 0.02 eV in the CVD-Pr2O3/Si structure were also determined by current conduction characteristics.

    DOI: 10.1063/1.3275706

  • Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units 査読

    Yuichi Setsuhara, Ken Cho, Kosuke Takenaka, Akinori Ebe, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    THIN SOLID FILMS   518 ( 3 )   1006 - 1011   2009年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Plasma surface treatment of polymers has been carried out with argon/oxygen mixture plasmas driven by multiple low-inductance antenna units. Kinetic energy distribution of argon ions from the argon/oxygen mixture plasmas onto polymers showed considerable suppression of ion energies sufficiently less than 10 eV. Polyethyleneterephthalate (PET) films were exposed to argon/oxygen mixture plasma for 1-5 min on a water-cooled substrate holder. The etching depth of PET surface increased with increasing plasma-exposure time and the etching rate was 118 nm/min. Surface roughness of PET surface (root-mean-square value) increased from 0.5 nm to 2.7 nm with increasing plasma-exposure time from 0 min (original sample) to states of the PET surface. The HXPES analyses exhibited nano-surface modification of the PET surface without suffering degradation of molecular structures beneath. (C) 2009 Elsevier B.V. all rights reserved.

    DOI: 10.1016/j.tsf.2009.07.161

  • Novel method to introduce uniaxial tensile strain in Ge by microfabrication of Ge/Si1-xGex structures on Si(001) substrates 査読

    Takuya Mizutani, Osamu Nakatsuka, Akira Sakai, Hiroki Kondo, Masaki Ogawa, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   53 ( 11 )   1198 - 1201   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have proposed a novel method to form by microfabrication a uniaxial tensile strained Ge layer due to the elastic strain relaxation of a Si1-xGex buffer layer on a Si(0 0 1) substrate. A fully strain-relaxed Ge layer on a compressive strained Si0.60Ge0.40 Was epitaxially grown on Si(0 0 1) substrate and striped mesa lines were fabricated with a line width of 250 nm along the [1 1 0] direction. The strain of the Si0.60Ge0.40 layer was found to be elastically relaxed only along the direction perpendicular to the lines and a uniaxial tensile strained Ge layer was thus formed. The value of tensile strain of the Ge layer is estimated to be 0.25&#37;. (C) 2009 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2009.08.001

  • Novel method to introduce uniaxial tensile strain in Ge by microfabrication of Ge/Si1-xGex structures on Si(001) substrates 査読

    Takuya Mizutani, Osamu Nakatsuka, Akira Sakai, Hiroki Kondo, Masaki Ogawa, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   53 ( 11 )   1198 - 1201   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have proposed a novel method to form by microfabrication a uniaxial tensile strained Ge layer due to the elastic strain relaxation of a Si1-xGex buffer layer on a Si(0 0 1) substrate. A fully strain-relaxed Ge layer on a compressive strained Si0.60Ge0.40 Was epitaxially grown on Si(0 0 1) substrate and striped mesa lines were fabricated with a line width of 250 nm along the [1 1 0] direction. The strain of the Si0.60Ge0.40 layer was found to be elastically relaxed only along the direction perpendicular to the lines and a uniaxial tensile strained Ge layer was thus formed. The value of tensile strain of the Ge layer is estimated to be 0.25&#37;. (C) 2009 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2009.08.001

  • *Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal–Oxide–Semiconductor Capacitors 査読

    Ryosuke Kato, Shinya Kyogoku, Mitsuo Sakashita, Hiroki Kondo, Shigeaki Zaima

    Japanese Journal of Applied Physics   48 ( 5 )   05DA04   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal-Oxide-Semiconductor Capacitors
    We have investigated the interfacial control effects of the atomic layer deposition (ALD)-Al2O3 on the crystalline and electronic characteristics of LaAlO3/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopic analysis revealed that Al2O3/Ge interfaces are much more stable than LaAlO3/Ge and La2O3/Ge interfaces for the formation of Ge oxides owing to the interfacial reaction. For the LaAlO3/ALD-Al2O3/Ge structure, Al2O3 interfacial layers with thicknesses less than 1 nm effectively suppress the interfacial reaction between LaAlO3 and Ge. The thickness of Ge oxides formed at the ALD-Al2O3/Ge interface decreases with increasing Al2O3 thickness and the stack structure is thermally stable against postdeposition annealing at 600 degrees C. These results indicate that interfacial reaction mainly arises during the sputtering-LaAlO3 deposition, not the ALD-Al2O3 deposition. On the other hand, capacitance equivalent oxide thickness decreases with increasing interfacial ALD-Al2O3 thickness, because the formation of Ge oxides at the interface is effectively suppressed by ALD-Al2O3 interfacial layers. It can be concluded that ALD-Al2O3 is promising as an interfacial control layer between Ge substrates and high-k dielectrics. (C) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.05DA04

  • Nitrogen-Content Dependence of Crystalline Structures and Resistivity of Hf-Si-N Gate Electrodes for Metal-Oxide-Semiconductor Field-Effect Transistors 査読

    Kazuaki Miyamoto, Kouhei Furumai, Ben E. Urban, Hiroki Kondo, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   48 ( 4 )   045505   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The dependences of crystalline structures and resistivity of Hf-Si-N films on nitrogen content were investigated in this study. The nitrogen (N) content of Hf-Si-N films increases with increasing N-2 concentration in a N-2/Ar mixture ambient used in sputtering, and saturates to about 59&#37; at N-2 concentrations of 4.8&#37; and above. This indicates that all Hf and Si atoms form HfN and Si3N4 in the films, respectively. From X-ray diffraction (XRD) profiles, nanocrystallites exist even in as-deposited films with saturated N content. However, they hardly grow after post deposition annealing (PDA) at 900 degrees C. The resistivity values are almost constant at N-2 concentrations of 4.8&#37; and below. On the other hand, they significantly increase with increasing N-2 concentration above 4.8&#37; and consequently become unmeasurable at N-2 concentrations of 13.0&#37; and above. The XRD profiles indicate that nanocrystallites segregating in those films are related to Hf3N4. (c) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.045505

  • Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes 査読

    Hiroki Kondo, Kouhei Furumai, Mitsuo Sakashita, Akira Sakai, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   48 ( 4 )   04C012   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The annealing temperature and thickness dependences of electrical properties of mictamict Ti-Si-N gate metal-oxide-semiconductor (MOS) capacitors, and their relationships with the crystalline structure were investigated. The nanocrystallites in mictamict Ti-Si-N films sputtered in over 3.0&#37; N-2 ambient hardly grow even after postdeposition annealing (PDA) at temperatures below 900 degrees C. As the N-2 concentration increases up to 3&#37;, the resistivity of the Ti-Si-N films increases owing to an increase in the amount of Si3N4 components and the development of the amorphization. On the other hand, the resistivity decreases with increasing N-2 concentration above 3&#37;. This is attributed to the formation of Ti3N4 components, as revealed by X-ray photoelectron spectroscopy (XPS) analysis. The changes in resistivity and effective work function are also extremely small. Additionally, even when the Ti-Si-N film thickness is reduced to 5 nm, the Pt/Ti-Si-N stacked gate electrode maintains almost the same effective work function (4.6 eV). (C) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.04C012

  • Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films 査読

    Hiroki Kondo, Tomonori Ueyama, Eiji Ikenaga, Keisuke Kobayashi, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    THIN SOLID FILMS   517 ( 1 )   297 - 299   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    High-density and similarly-sized Si nanodots were formed by annealing ultra-thin amorphous Si (a-Si) films deposited on SiO2/Si substrates in vacuum. Dependences of density and diameter of the Si nanodots on the a-Si film thickness and, annealing temperature and time were investigated by scanning electron microscopy. It is found that drastic increase (decrease) in the density (diameter) occurred at an a-Si thickness of 1 nm. By agglomeration of sub-nanometer thick a-Si films, a density larger than 10(12) cm(-2), an average diameter smaller than 5 nm, and a dispersion of diameter less than 15&#37; were achieved. (c) 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.079

  • Silicide and germanide technology for contacts and gates in MOSFET applications 招待 査読

    Shigeaki Zaima, Osamu Nakatsuka, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa

    THIN SOLID FILMS   517 ( 1 )   80 - 83   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report silicide and germanide technology for ohmic contacts and metal gates of MOSFETs in this paper. We have investigated the control technology of NiSi/Si contact properties by incorporating third elements such as Ge and C for future ULSI applications. The work function and resistivity of various Ni and Pt germanides have been also examined as metal gate materials. The low resistivity and tunable work function of these silicides and germanides are desirable for future CMOS devices. (c) 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.097

  • Analysis of Uniaxial Tensile Strain in Microfabricated Ge/Si1-x Gex Structures on Si(001) Substrates

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, S. Zaima

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics   2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    Analysis of Uniaxial Tensile Strain in Microfabricated Ge/Si1-x Gex Structures on Si(001) Substrates

  • MOCVD法によるPr酸化膜の作製およびその電気的特性評価 招待 査読

    近藤博基, 櫻井晋也, 酒井朗, 小川正毅, 財満鎭明

    信学技報   108 ( 80 )   71-75   2008年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    Fabrication of Pr oxide by MOCVD and evaluation of its electrical properties

  • Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors 査読

    Kouhei Furumai, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   47 ( 4 )   2420 - 2424   2008年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The crystal structures and electrical characteristics of Ti-Si-N metal-oxide-semi conductor (MOS) gate electrodes in the mictamict state, which is a comprehensive term referring to amorphous structures both with and without nanocrystals, were investigated. By increasing the N-2 concentration of the sputtering ambient, the nitrogen (N) content of the Ti-Si-N films increased and consequently the Ti-Si-N films did not crystallize. At a N-2 concentration of more than 3.0&#37;, the N content of the films was almost constant at about 53&#37;, which indicates that all Ti and Si atoms deposited in the form of TiN and Si3N4, respectively. In such Ti-Si-N films with a saturated N content, only 2-3-nm-grain-size nanocrystallites formed, which were embedded in amorphous layers even after post-deposition annealing (PDA) above 900 degrees C. At the same time, with increasing N2 concentration of the sputtering ambient, the change in the film resistivity after the PDA became smaller and the capacitance equivalent thickness (CET) fluctuation gradually ceased to occur. The work function of the mictamict Ti-Si-N gate electrodes, which were deposited in 5.0&#37; N-2 ambient and annealed at 500 degrees C, was determined to be 4.6eV.

    DOI: 10.1143/JJAP.47.2420

  • Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors 査読

    Kouhei Furumai, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   47 ( 4 )   2420 - 2424   2008年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The crystal structures and electrical characteristics of Ti-Si-N metal-oxide-semi conductor (MOS) gate electrodes in the mictamict state, which is a comprehensive term referring to amorphous structures both with and without nanocrystals, were investigated. By increasing the N-2 concentration of the sputtering ambient, the nitrogen (N) content of the Ti-Si-N films increased and consequently the Ti-Si-N films did not crystallize. At a N-2 concentration of more than 3.0&#37;, the N content of the films was almost constant at about 53&#37;, which indicates that all Ti and Si atoms deposited in the form of TiN and Si3N4, respectively. In such Ti-Si-N films with a saturated N content, only 2-3-nm-grain-size nanocrystallites formed, which were embedded in amorphous layers even after post-deposition annealing (PDA) above 900 degrees C. At the same time, with increasing N2 concentration of the sputtering ambient, the change in the film resistivity after the PDA became smaller and the capacitance equivalent thickness (CET) fluctuation gradually ceased to occur. The work function of the mictamict Ti-Si-N gate electrodes, which were deposited in 5.0&#37; N-2 ambient and annealed at 500 degrees C, was determined to be 4.6eV.

    DOI: 10.1143/JJAP.47.2420

  • Development of high-density radical source for radical nitridation process in ULSI technology development of high-density radical source for radical nitridation process in ULSI technology 査読

    H. Kondo, S. Oda, S. Takashima, A. Sakai, M. Ogawa, S. Zaima, M. Hori, S. Den, H. Kano

    The International Conference on Plasma-NanoTechnology and Science   2008年3月

     詳細を見る

    記述言語:英語  

    Development of high-density radical source for radical nitridation process in ULSI technology development of high-density radical source for radical nitridation process in ULSI technology

  • Ge基板上に作製したPr酸化膜の評価 査読

    坂下満男, 鬼頭伸幸, 加藤亮祐, 近藤博基, 中塚理, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)   2008年1月

     詳細を見る

    記述言語:日本語  

  • 窒素ラジカル暴露によるGe(001)表面処理 査読

    近藤博基, 藤田美里, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)   2008年1月

     詳細を見る

    記述言語:日本語  

  • ミクタミクトTiSiNゲートMOSキャパシタの結晶構造及び電気的特性の評価 査読

    古米孝平, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)   2008年1月

     詳細を見る

    記述言語:日本語  

  • Structural and Electrical Properties of Metal-germanide MOS Gate Electrodes 査読

    H. Kondo, D. Ikeno, Y. Kaneko, M. Sakashita, A. Sakai, M. Ogawa, S. Zaima

    The Sixth Pacific Rim Inernational Conference on Advanced Materials and Processing   2007年11月

     詳細を見る

    記述言語:英語  

    Structural and Electrical Properties of Metal-germanide MOS Gate Electrodes

  • シリコン表面の窒化初期過程とエネルギーバンドギャップの形成 招待 査読

    近藤 博基, 財満 鎭明, 堀 勝, 酒井 朗, 小川 正毅

    真空   50 ( 11 )   665 - 671   2007年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    &nbsp;&nbsp;Initial stage of processes and energy bandgap formation in nitridation of silicon surfaces using nitrogen radicals have been studied. According to scanning tunneling microscopy observations and scanning tunneling spectroscopy measurements, at the initial stage of nitridation, linear defects perpendicular to dimmer rows were formed to coincide with an initial nitridation reaction preferentially at backbonds of surface Si atoms. After the nitride formation, the surface roughness depends only on substrate temperature regardless of radio frequency (RF) power, which means that the growth mode of nitrides is attributed to the surface migration. Contrary, the energy bandgap of silicon nitrides is significantly affected by not only substrate temperature but also RF power. Absorption and emission spectroscopy results suggest that the contribution of the excited-state nitrogen atoms to the nitridation increases with increasing the RF power. Control of surface migration and radical species is crucial to form the monolayer-thick nitride layer with both an atomically flat surface and a wide energy bandgap.

    DOI: 10.3131/jvsj.50.665

  • Pr-oxide-based dielectric films on Ge substrates 査読

    M. Sakashita, N. Kito, A. Sakai, H. Kondo, O. Nakatsuka, M. Ogawa, S. Zaima

    Extended Abstracts of the 2007 International Conference on Solid State Device and Materials   2007 ( 85 )   330 - 331   2007年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    Pr-oxide-based dielectric films on Ge substrates

  • Surface treatment of Ge(001) surface by radical nitridation 査読

    H. Kondo, M. Fujita, A. Sakai, M. Ogawa, S. Zaima

    Extended Abstracts of the 2007 International Conference on Solid State Device and Materials   2007年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    Surface treatment of Ge(001) surface by radical nitridation

  • Development of new high-density radical sources and its application to radical nitridation of Ge surfaces

    H. Kondo, S. Oda, S. Takashima, A. Sakai, M. Ogawa, S. Zaima, M. Hori, S. Den, H. Kano

    The 20th Symposium on Plasma Science for Materials   2007年6月

     詳細を見る

    記述言語:英語  

    Development of new high-density radical sources and its application to radical nitridation of Ge surfaces

  • Composition dependence of work function in metal (Ni,Pt)-germanide gate electrodes 査読

    Daisuke Ikeno, Yukihiro Kaneko, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 ( 4B )   1865 - 1869   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The composition ratio dependence of electrical (work function and resistivity) and structural properties in metal (Ni,Pt)-germanide gate electrodes was investigated for metal-oxide-semiconductor (MOS) devices. X-ray diffraction and cross-sectional transmission electron microscopy clearly revealed that metal-germanide MOS gate electrodes with a single Ni-germanide (Ni-Ge) or Pt-germanide (Pt-Ge) phase can be formed by controlling the thicknesses of the deposited metal and Ge. The resistivities of both Ni-Ge and Pt-Ge were lower than that of conventional polycrystalline silicon (poly-Si) gate electrodes. From the capacitance-voltage characteristics, the work functions of the Ni-Ge gate electrodes were measured to be from 4.6 to 4.9 eV, and those of the Pt-Ge gate electrodes were from 4.9 to 5.3 eV. With increasing metal (Ni,Pt) content, the work function of Ni-Ge decreased, while that of Pt-Ge increased. This composition dependence of the work function of the metal-germanide can be explained by considering the electronegativity of the pure metals that are often used.

    DOI: 10.1143/JJAP.46.1865

  • Growth and energy bandgap formation of silicon nitride films in radical nitridation 査読

    Hiroki Kondo, Keigo Kawaai, Akira Sakai, Masaru Hori, Shigeaki Zaima, Yukio Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 ( 1 )   71 - 75   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The surface profiles and energy bandgap of sub-nanometer-thick silicon nitride layers, which were formed by radical nitridation, were analyzed by scanning tunneling microscopy (STM) and scanning tunneling spectroscopy (STS). According to the STM results, the surface roughness of the nitride layers depends only on substrate temperature regardless of radio frequency (RF) power, which indicates that the growth mode of the silicon nitride layer in radical nitridation is attributed to the surface silicon atom migration but does not depend on the types of nitrogen radical. In contrast, STS spectra show that the energy bandgap of the silicon nitride layer is significantly changed with not only substrate temperature but also RF power. The densities of nitrogen radicals were analyzed by absorption and emission spectroscopy, which suggests that the contribution of the excited-state nitrogen atoms to radical nitridation increases as RF power increases. The monolayer-thick nitride layer with both an atomically flat surface and a wide energy bandgap can be formed under appropriate conditions, because they are limited by different thermaly activated features.

    DOI: 10.1143/JJAP.46.71

  • パルスレーザー蒸着法によるGe基板上へのPr酸化膜の作製とその構造及び電気的特性評価

    鬼頭伸幸, 坂下満男, 酒井朗, 中塚理, 近藤博基, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)   2007年1月

     詳細を見る

    記述言語:日本語  

  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates 査読

    Shogo Mochizuki, Akira Sakai, Osamu Nakatsuka, Hiroki Kondo, Katsunori Yukawa, Koji Izunome, Takeshi Senda, Eiji Toyoda, Masaki Ogawa, Shigeaki Zaima

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   22 ( 1 )   S132 - S136   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated dislocation morphology and strain relaxation mechanisms of SiGe and Ge sub-micron wide striped mesa lines patterned on Si(0 0 1) substrates. The patterning of SiGe and Ge layers principally leads to asymmetric elastic strain relaxation. Post-patterning anneal induces 60 degrees dislocation introduction to relax the strain but the narrower the line width the more dominant is the elastic strain relaxation. In the case of 250 nm wide SiGe lines, 60 degrees dislocation introduction along the line is critically suppressed so that asymmetric strain distribution is realized. On the other hand, for the Ge line structure, pre-formed pure edge dislocations elongate along both orthogonal directions at the heterointerface independent of the line geometry even with the line width of 250 nm. Thus strain relaxation occurs symmetrically and rigidly. These results can be explained by deference of the introduction and propagation mechanisms of 60 degrees and pure-edge dislocations.

    DOI: 10.1088/0268-1242/22/1/S31

  • Pt-germanideゲート電極の結晶構造及び電気的特性の評価”

    池野大輔, 古米孝平, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)   2007年1月

     詳細を見る

    記述言語:日本語  

  • Dislocation structure and strain relaxation of SiGe and Ge sub-micron stripe lines on Si(001) substrates

    O. Nakatsuka, S. Mochizuki, A. Sakai, H. Kondo, K. Yukawa, M. Ogawa, S. Zaima

    2006年10月

     詳細を見る

    記述言語:英語  

    Dislocation structure and strain relaxation of SiGe and Ge sub-micron stripe lines on Si(001) substrates

  • Interfacial structure of HfON/SiN/Si gate stacks

    O. Nakatsuka, M. Sakashita, H. Kondo, E. Ikenaga, M. Kobata, J.-J. Kim, H. Nohira, T. Hattori, A. Sakai, M. Ogawa, S. Zaima

    The 2nd International Workshop on Hard X-ray Photoelectron Spectroscopy   2006年9月

     詳細を見る

    記述言語:英語  

    Interfacial structure of HfON/SiN/Si gate stacks

  • Systematic characterization of Ni full silicide in sub-100 nm gate regions

    D. Ito, A. Sakai, O. Nakatsuka, H. Kondo, Y. Akasaka, M. Ogawa, S. Zaima

    2006年4月

     詳細を見る

    記述言語:英語  

    Systematic characterization of Ni full silicide in sub-100 nm gate regions

  • Study of the gate insulator/silicon interface utilizing soft and hard X-ray photoelectron spectroscopy at Spring-8 査読

    T. Hattori, H. Nohira, K. Azuma, K. W. Sakai, K. Nakajima, M. Suzuki, K. Kimura, Y. Sugita, E. Ikenaga, K. Kobayashi, Y. Takata, H. Kondo, S. Zaima

    International Journal of High Speed Electronics and Systems   16 ( 1 )   353 - 364   2006年3月

     詳細を見る

    記述言語:その他   掲載種別:研究論文(その他学術会議資料等)  

    The chemical structures of SiO2/Si interfaces were studied by photoelectron spectroscopy using high-brilliance soft X-ray with photon energy ranging from 500 to 1500 eV at Super Photon ring 8 GeV(SPring-8) and it is able to probe a depth of about 1.2 to 3 nm with energy resolution of 100 meV. On the other hand, high-brilliance hard X-ray with photon energy ranging from 6 to 10 keV is able to probe a depth of about 8.5 to 12.5 nm with energy resolution of 100 meV. Hard photoelectron spectroscopy are particularly useful for studying the composition and the chemical structure of transition layer at high-k dielectric/silicon interface. © World Scientific Publishing Company.

    DOI: 10.1142/S0129156406003680

  • Initial growth process of TiN films in ultrahigh-vacuum rapid thermal chemical vapor deposition 査読

    Y Okuda, S Naito, O Nakatsuka, H Kondo, T Okuhara, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   45 ( 1A )   49 - 53   2006年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated an initial stage of titanium nitride (TiN) growth on SiO2 Substrates by ultrahigh-vacuum chemical vapor deposition with TiCl4 and NH3 as source materials. The behaviors of nucleation and grain growth of TiN have been clarified by atomic force microscopy and transmission electron microscopy. It was found that TiN film formation at ail initial stage consists of three stages. which are characteristic of the lateral and subsequent vertical growth processes of grains. Deposition time dependence of the lateral growth of TiN grains clearly indicates that a process at 550 degrees C is limited by the surface reaction, irrespective of the TiCl4 flow rate and a pretreatment for the substrates before the deposition. The pretreatment affects in the generation of additional nucleation sites on the SiO2 surface but does not affect the mechanisms of nucleation and Grain Growth.

    DOI: 10.1143/JJAP.45.49

  • Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy 査読

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 10 )   7582 - 7587   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have studied the processes of local degradation through current leakage leading to breakdown in gate SiO2 films by conductive atomic force microscopy (C-AFM). Electrical stress was applied to the SiO2 films in the form of metal-oxide-semiconductor capacitors. Leakage current spots caused by holes trapped at stress-induced defects appeared in current images of the stressed SiO2 films. During the C-AFM observation at the same area with a high electric field, currents at these leakage spots gradually increased and the breakdown finally occurred at these sites, whereas at background regions other than the leakage spots, leakage currents gradually decreased. In contrast, in the case of nonstressed SiO2 films, the breakdown occurs without showing any predictive signs. Degradation and breakdown mechanisms depending on the stress condition are discussed.

    DOI: 10.1143/JJAP.44.7582

  • Nanoscale Observations for Degradation Phenomena in SiO_2 and High-k Gate Insulators Using Conductive-Atomic Force Microscopy 査読

    ZAIMA Shigeaki, SEKO Akiyoshi, WATANABE Yukihiko, SAGO Toshifumi, SAKASHITA Mitsuo, KONDO Hiroki, SAKAI Akira, OGAWA Masaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2005   236 - 237   2005年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    Nanoscale Observations for Degradation Phenomena in SiO_2 and High-k Gate Insulators Using Conductive-Atomic Force Microscopy

  • Fabrication and evaluation of floating gate memories with surface-nitrided Si nanocrystals 査読

    S Naito, T Ueyama, H Kondo, M Sakashita, A Sakai, M Ogawa, S Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 7B )   5687 - 5691   2005年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A floating-gate memory with surface-nitrided Si nanocrystals buried in a SiO2 matrix has been fabricated employing radical nitridation. Si nanocrystals with a number density higher than I X 10(12)CM(-2) and an average grain size smaller than 6 nm have been grown using an ultrathin amorphous Si layer predeposited on the SiO2 surface. Since the radical nitridation of the formed Si nanocrystals effectively suppresses excess oxidation of nanocrystals during the control oxide formation, the Si nanocrystals have been successfully buried in the SiO2 matrix without losing their number density, grain size and fine spherical shape. Electrical properties of the floating-gate memory were also examined. A flat band voltage shift larger than I V, which is consistent with the number density of Si nanocrystals, was observed in capacitance-voltage measurements.

    DOI: 10.1143/JJAP.44.5687

  • Behavior of local current leakage in stressed gate SiO2 films analyzed by conductive atomic force microscopy 査読

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 7B )   4683 - 4686   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We studied local leakage currents induced in stressed gate SiO2 films and their time dependence by conductive atomic force microscopy (C-AFM). The current-voltage characteristics of the leakage currents detected in the C-AFM observations indicate Fowler-Nordheim tunneling currents enhanced by holes trapped in the stressed SiO2 films. By repeated C-AFM observations at the same area, it was found that individual spot currents decrease at different rates. This result indicates hole detrapping with different time constants from the stress-induced defects that have different features.

    DOI: 10.1143/JJAP.43.4683

  • Detection and characterization of stress-induced defects in gate SiO2 films by conductive atomic force microscopy 査読

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 7B )   4679 - 4682   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have demonstrated the detection of manometer-scale current-leakage sites in electrically stressed gate SiO2 films using a conductive atomic force microscope (C-AFM). Prior to C-AFM observations, the gate SiO2 films in metal-oxide-semiconductor capacitors were subjected to constant-current Fowler-Nordheim (FN) stress. Details of image contrasts and the relationship between the surface topography and the current image of the SiO2 films were examined. Two types of contrast were clearly observed in the current image: a sharp bright spot reflecting local current leakage were caused by hole trapping at stress-induced defects and a fuzzy bright contrasts originating from the SiO2 thickness fluctuation. The dependence of C-AFM images on the electron injection direction during FN stress application and the SiO2 film thickness clearly reveals that the stress-induced defects are distributed in the region within 2.6 nm from the SiO2/Si substrate interface.

    DOI: 10.1143/JJAP.43.4679

  • Growth of silicon nanocrystal dots with high number density by ultra-high-vacuum chemical vapor deposition 査読

    S Naito, M Satake, H Kondo, M Sakashita, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 6B )   3779 - 3783   2004年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Si nanocrystal growth using an amorphous Si (a-Si) layer pre-deposited on a SiO2 surface has been performed by ultra-high-vacuum chemical vapor deposition (UHV-CVD). It has been shown that high-density Si nanocrystals are formed by Si2H6 irradiation on to an a-Si surface and that Si atoms supplied from the a-Si layer contribute to the growth of Si nanocrystals. By changing the thickness of the a-Si layer, the number density and size of Si nanocrystals can be controlled systematically. A number density of 1.0 x 10(12) cm(-2) and an average diameter of 9.1 nm were achieved for Si nanocrystals on the SiO2/Si substrate in the case of using a 0.3-nm-thick a-Si layer. Si nanocrystals were successfully buried in a SiO2 matrix by post deposition processes and their diameters were found to range from 6 to 10 nm.

    DOI: 10.1143/JJAP.43.3779

  • Nanoscale analysis of degradation phenomena in MOS gate insulators using conductive atomic force microscopy

    S. Zaima, H. Kondo, M. Sakashita, A. Sakai, Y. Yasuda

    2004年6月

     詳細を見る

    記述言語:英語  

    Nanoscale analysis of degradation phenomena in MOS gate insulators using conductive atomic force microscopy

  • Thickness dependence of microscopic current-voltage characteristics in stressed SiO2 films 査読

    Y. Watanabe, A. Seko, H. Kondo, A. Sakai, S. Zaima, Y. Yasuda

    2004年5月

     詳細を見る

    記述言語:英語  

    Thickness dependence of microscopic current-voltage characteristics in stressed SiO2 films

  • Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO2 gate films 査読

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 4B )   1843 - 1847   2004年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated microscopically the current leakage characteristics of SiO2 gate films in metal-oxide-semiconductor structure capacitors subjected to the Fowler-Nordheim (FN) constant current stress using a conductive atomic force microscope (C-AFM). Current images of C-AFM clearly reveal the leakage current spots in the samples in which the stress induced leakage current was confirmed by the macroscopic current-voltage (I-V) measurement. On the other hand, in the sample after the repeated macroscopic I-V measurement, there is a shift in threshold voltage for the appearance of current spots and its value directly corresponds to the voltage shift observed in the macroscopic capacitance-voltage measurements for this sample. The total number of current spots observable in the C-AFM scanned area critically depends on the substrate voltage: the spot number initially increases with the voltage to a certain value then decreases. The visibility of the current spot is well explained by the holes trapped locally at defect sites created in the stressed SiO2.

    DOI: 10.1143/JJAP.43.1843

  • Microscopic analysis of stress-induced leakage current in stressed gate SiO2 films using conductive atomic force microscopy 査読

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS   43 ( 2A )   L144 - L147   2004年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have developed a method of microscopically analyzing the degradation of gate SiO2 films in actual metal-oxide-semiconductor (MOS) devices by conductive atomic force microscopy (C-AFM). In C-AFM images of electrically stressed SiO2 films, leakage current spots on a nanometer scale were successfully observed. The observed current spots show characteristic behaviors similar to the transient stress-induced leakage current which can be detected by macroscopic electrical measurements using MOS capacitors. The appearance of the current spots is discussed on the basis of the mechanism by which holes are trapped and detrapped by stress-induced defects in SiO2 films.

    DOI: 10.1143/JJAP.43.L144

  • Local discharging of carriers at nanometer scale defects in gate SiO2 thin films observed by conducting atomic force microscopy

    A. Seko, Y. Watanabe, H. Kondo, A. Sakai, S. Zaima, Y. Yasuda

    2003年12月

     詳細を見る

    記述言語:英語  

    Local discharging of carriers at nanometer scale defects in gate SiO2 thin films observed by conducting atomic force microscopy

  • Coulomb blockade phenomena in Si metal-oxide-semiconductor field-effect transistors with nano-scale channels fabricated using focused-ion beam implantation 査読

    H Kondo, K Izumikawa, M Sakurai, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   38 ( 12B )   7222 - 7226   1999年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have fabricated Si metal-oxide-semiconductor held-effect transistors of small dimensions using focused-ion-beam (FIB) implantation and SiO2 implantation masks with the width of 63-118 nm and have investigated the Coulomb blockade phenomena in these devices. The source and drain regions are formed by FIB implantation with a beam diameter of about 100 nm and the effective channel length is estimated to be 27-82 nm. Periodic oscillations of conductance, which are considered to be Coulomn blockade osillations, are observed at temperatures below 13 K. The measured oscillation period of V-G is 1.2-3.1 V and the gate capacitance is estimated to be 0.053-0.14aF for different channel lengths. Furthermore, it is found the the oscillation period of V-G increases as the channel length increases, which indicates that the dot radius decreases with increasing channel length. Large negative magnetoresistance is distinctly observed at the top of oscillation peaks and, on the other hand, only weak magnetoresistance is obtained at the bottoms.

    DOI: 10.1143/JJAP.38.7222

  • Conductance oscillations in low-dimensional ion implanted regions annealed by rapid thermal annealing 査読

    H Kondo, K Kaga, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   38 ( 4A )   1843 - 1846   1999年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the Coulomb blockade in gate-controlled hopping conduction systems made up of small-dimensional focused ion beam (FIB) implanted wires having a small non-implanted gap. Dot structures are formed in the gap by potential fluctuations caused by dispersed Ga impurities and implantation-induced defects. In the present work, the samples were subjected to two thermal treatment methods: furnace annealing at 600 degrees C for 30 min and rapid thermal annealing (RTA) at 900 degrees C for 30 s. In both samples, the conductance oscillates by varying the gate voltage at low temperatures, in which the nearest-neighbor hopping conduction is dominant. In the samples annealed by RTA, the oscillation amplitude becomes much larger compared with that of samples annealed at 600 degrees C, which suggests that implantation-induced defects are annihilated by the thermal treatment at high temperatures. There coexist periodic and random peaks in the observed oscillation, which originate from the Coulomb blockade and hopping path changes, respectively. From obtained measurements, the dot size is estimated to be about 24 nm for the sample annealed at 600 degrees C and 14 nm for the sample annealed at 900 degrees C. The width of tunneling barrier of the sample annealed at 900 degrees C was estimated to be about 14-17 nm.

    DOI: 10.1143/JJAP.38.1843

▼全件表示

書籍等出版物

  • New Progress on Graphene Research/Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori(担当:共著)

    InTech  2013年3月 

     詳細を見る

    担当ページ:担当ページ数:10.5772/3358,Chapter9   記述言語:英語  

    New Progress on Graphene Research/Graphene Nanowalls

  • Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu(担当:共著)

    Intech  2011年9月 

     詳細を見る

    担当ページ:担当ページ数:21-36   記述言語:英語  

    Nucleation and Vertical Growth of Nano-Graphene Sheets

講演・口頭発表等

  • ラジカル注入型プラズマ化学気相堆積法を用いた高結晶性・低欠陥密度微結晶シリコン薄膜の作製 国際会議

    Yusuke Abe, Sho Kawashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    第24回プラズマ材料科学シンポジウム(SPSM24)  2011年7月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • リアルタイム計測によるラジカルと固体表面相互反応プロセスの体系化 国際会議

    堀勝(招待講演, 関根誠, 石川健治, 近藤博基, 竹田圭吾, 河野昭彦, 堀邊英夫

    Cat-CVD研究会  2011年6月 

     詳細を見る

    記述言語:日本語  

    開催地:金沢工業大学扇が丘キャンパス多目的ホール   国名:その他  

  • 低損傷GaNエッチングのための塩素プラズマビーム表面相互作用と角度分解XPSによるその場解析 国際会議

    盧翌,陳尚, 米谷亮祐, 石川健治, 近藤博基, 竹田圭吾, 関根誠, 江川孝志, 天野浩, 節原裕一, 堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 単一カーボンナノウォールの架橋成長制御およびその電気的特性評価 国際会議

    神田貴幸, 近藤博基, 山川晃治, 竹田圭吾, 石川健冶, 平松美根男, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 吸収分光法を用いた炭素含有ガスによるマイクロ波プラズマ中のラジカルの計測 国際会議

    村田健一, 内藤全晃, 平松美根男, 高島成剛, 近藤博基, 堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水・超撥水性出現機構 国際会議

    渡邊均, 近藤博基, 関根誠, 平松美根男, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 大気圧プラズマ処理によるカーボンナノウォール表面の超親水・超撥水発生機構 国際会議

    渡邊均, 近藤博基, 関根誠, 堀勝, 平松美根男

    表面技術協会第124回講演大会  2011年9月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水性・超撥水性発現機構 国際会議

    渡邊 均, 近藤博基, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 実時間・その場観察電子スピン共鳴(ESR)を用いた水素原子照射による有機薄膜表面処理メカニズムの解析 国際会議

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 微結晶シリコン成膜における水素ラジカル注入型プラズマ源の特性 国際会議

    陸雅, 福島敦史, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 有機材料の超微細エッチングにおける白金微粒子の側壁保護作用に関する研究 国際会議

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 節原裕一, 白谷正治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 水素ラジカルによるGaN中プラズマ誘導欠陥の不活性化 国際会議

    陳尚, 盧翌, 米谷亮祐, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜における欠陥密度の抑制効果 国際会議

    陸 雅, 福島敦史, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 水素ラジカル照射による有機薄膜表面処理の実時間・その場観察電子スピン共鳴(ESR)解析 国際会議

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    Cat-CDV研究会  2011年6月 

     詳細を見る

    記述言語:日本語  

    開催地:金沢工業大学扇が丘キャンパス多目的ホール   国名:その他  

  • 燃料電池応用に向けたカーボンナノウォールの作製 国際会議

    ミツ口真司, 堀部剛良, 平松美根男, 近藤博基, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 白金微粒子の側壁保護作用を用いた有機材料の超微細エッチング 国際会議

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 節原裕一, 白谷正治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 真空一貫プロセスによるGaNプラズマビームエッチング及び水素ラジカルダメージ層除去とその表界面反応機構の解明 国際会議

    陳尚,盧翌, 米谷亮祐, 江川孝志, 石川健治, 近藤博基, 加納浩之, 徳田豊, 関根誠, 節原裕一, 竹田圭吾, 天野浩,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 真空紫外吸収分光法を持ちたマイクロ波プラズマ中のC原子の密度計測 国際会議

    村田健一, 内藤全晃, 平松美根男, 高島成剛, 近藤博基, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 薄膜シリコン太陽電池プラズマプロセスにおけ水素ラジカル表面反応 国際会議

    阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 薄膜太陽電池製造装置開発に向けたSiH4/H2プラズマにおける水素ラジカル表面損失確率計測 国際会議

    阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • 超臨界流体を用いたカーボンナノウォール(CNWs)へのTiO2微粒子担持 国際会議

    堀部剛良, ミツ口真司, 加納浩司, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 超臨界流体を用いた酸化チタン微粒子のカーボン材料への担持 国際会議

    堀部剛良, 三ツ口真司, 平松美根男, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 超高密度60Hz大気圧非平衡プラズマを用いた表面処理技術の開発 国際会議

    竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀 勝

    平成22年度成果報告会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋工業大学   国名:その他  

  • 配列カーボンナノウォールの作製 国際会議

    神田貴幸, 近藤博基, 山川晃司, 竹田圭吾, 石川健治, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 酸素プラズマによるポーラスSiOCH膜の構造変化機構 国際会議

    浅野高平, 山本洋, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • 非平衡大気圧H2/Arプラズマによる酸化銅還元メカニズム 国際会議

    竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • 非平衡大気圧プラズマを用いたミドリカビ殺菌におけるテラヘルツ分光センシング 国際会議

    平岡丈弘, 海老塚昇, 竹田圭吾, 太田貴之, 近藤博基, 伊藤昌文, 川瀬晃道, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 非平衡大気圧プラズマにおける活性種の3次元気相反応解析

    加藤正規, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 高密度60Hz大気圧プラズマ生成酸素原子絶対密度の空間分布 国際会議

    Fendong Jia, 竹田圭吾, 石川健治, 加納浩之, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 高温における窒化ガリウム(GaN)のエッチング機構 国際会議

    米谷亮祐, 陳 尚, 蘆 翌, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 江川孝志, 天野 浩, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • 高温エッチングにおける窒化ガリウム(GaN)の表面変性:角度分解X線光電子解析 国際会議

    盧 翌, 陳 尚, 米谷亮祐, 石川健治, 近藤博基, 竹田圭吾, 関根 誠, 江川孝志, 天野 浩, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • Control of crystalline and electronic structures of carbon nanowalls for their device applications

    Kondo H

    IEEE Region 10 Annual International Conference, Proceedings/TENCON  2010年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Control of crystalline and electronic structures of carbon nanowalls for their device applications

  • Development and Application of Electron Beam-Excited Plasma System using Hollow Cathode Electron Source

    K.Yamakawa, S.Den, S.Takahashi, H.Yamamoto, M.Hori, H.Kondo

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials,  2010年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Development and Application of Electron Beam-Excited Plasma System using Hollow Cathode Electron Source

  • Dispersive Optical Elements for TMT

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori, Y. Hirahara, S. Sato, M. Iye

    TMTサイエンス検討会:TMTで切り開く2020年代の新しい天文学  2010年10月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Dispersive Optical Elements for TMT

  • Hard X-ray photoelectron spectroscopy analysis for organic-inorganic hybrid materials formation

    Cho K

    Ceramic Transactions  2010年9月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Hard X-ray photoelectron spectroscopy analysis for organic-inorganic hybrid materials formation

  • Ni suicide and germanide technology for contacts and metal gates in MOSFET applications

    Zaima S

    ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings  2007年8月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Ni suicide and germanide technology for contacts and metal gates in MOSFET applications

  • Electrical properties and bonding structures of germanium nitride/Ge(100) structures formed by radical nitridation

    Kondo H

    ECS Transactions  2006年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Electrical properties and bonding structures of germanium nitride/Ge(100) structures formed by radical nitridation

  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates

    Mochizuki S

    Third International SiGe Technology and Device Meeting, ISTDM 2006 - Conference Digest  2006年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates

  • Preparation and evaluation of NiGe gate electrodes for metal-oxide- semiconductor devices

    Kaneko Y

    Proceedings - Electrochemical Society  2004年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Preparation and evaluation of NiGe gate electrodes for metal-oxide- semiconductor devices

  • プラズマ化学気相堆積法によって成長したカーボンナノウォールの結晶構造に対する酸素ガス添加効果 国際会議

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry

    T. Tsutsumi, T. Ohta, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    AVS 60th International Symposium & Exhibition  2013年10月 

     詳細を見る

    記述言語:英語  

    開催地:Long Beach, California, USA   国名:その他  

    Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry

  • Nonequilibrium atmospheric pressure plasma selectively killed ovarian cancer cells and induced apoptosis

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Nonequilibrium atmospheric pressure plasma selectively killed ovarian cancer cells and induced apoptosis

  • Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

    M. Hiramatsu, Y. Nihashi, H. Kondo, M. Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:Warsaw University of Technology, Warsaw, Poland   国名:その他  

    Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

  • Plasma Etching of Ga-based Compound Semiconductor

    J. Park, J. Cao, Z. Liu, T. Kako, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea   国名:その他  

    Plasma Etching of Ga-based Compound Semiconductor

  • Plasma-biological surface interaction investigated by electron spin resonance

    H. Mizuno, K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Plasma-biological surface interaction investigated by electron spin resonance

  • Plasma-mediated modulation of element distribution in skin and skin cancer

    I. Yajima, M. Iida, K. Nakagawa, H. Kondo, M. Kumasaka, K. Takeda, M. Hori, H. Kano, M. Kato

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Plasma-mediated modulation of element distribution in skin and skin cancer

  • Plasma-surface intertactions in plasma etching of future device fabrication

    K. Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T.Hayashi, M. Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Okazaki Conference Center, Okazaki, Japan   国名:その他  

    Plasma-surface intertactions in plasma etching of future device fabrication

  • Plasma-surface intertactions in plasma etching of future device fabrication

    K. Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T.Hayashi, M. Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Okazaki Conference Center, Okazaki, Japan   国名:その他  

    Plasma-surface intertactions in plasma etching of future device fabrication

  • PlasmaInduced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes

    T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori

    AVS 60th International Symposium & Exhibition  2013年10月 

     詳細を見る

    記述言語:英語  

    開催地:Long Beach, California, USA   国名:その他  

    PlasmaInduced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes

  • Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Okazaki Conference Center, Okazaki, Japan   国名:その他  

    Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy

  • Rapid precise measurements of film-covered-substrate temperatures during plasma processes

    M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013)  2013年11月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea   国名:その他  

    Rapid precise measurements of film-covered-substrate temperatures during plasma processes

  • Reaction Mechanism at the Sidewall of Through Si via (TSV) Etching by SF6/O2/SiF4 Plasma

    I. Sakai, S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, N. Sakurai, H. Hayashi, T. Ohiwa, M. Hori

    AVS 60th International Symposium & Exhibition  2013年10月 

     詳細を見る

    記述言語:英語  

    開催地:Long Beach, California, USA   国名:その他  

    Reaction Mechanism at the Sidewall of Through Si via (TSV) Etching by SF6/O2/SiF4 Plasma

  • Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes

  • Real-time / In-situ Electron Spin Resonance Analysis of Chemical Reactions on Silicon-Nitride with CF4 Gas Plasma 国際会議

    宮脇雄大, 王浩然, 近藤祐介, 石川健治, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

    Real-time / In-situ Electron Spin Resonance Analysis of Chemical Reactions on Silicon-Nitride with CF4 Gas Plasma

  • Real-time / in-situ electron spin resonance analysis of plasma surface interactions

    H. Wang, K. Ishikawa, H. Horibe, K. Takeda, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Okazaki Conference Center, Okazaki, Japan   国名:その他  

    Real-time / in-situ electron spin resonance analysis of plasma surface interactions

  • Real-time variation of sputtering yield of Ar ion for ArF photoresist during Ar plasma exposure

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Real-time variation of sputtering yield of Ar ion for ArF photoresist during Ar plasma exposure

  • Recovery of Plasma-Damaged GaN by in situ Radical Exposure

    Makoto Sekine, Zecheng Liu, Shang Chen, Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Wasington, USA   国名:その他  

    Recovery of Plasma-Damaged GaN by in situ Radical Exposure

  • Reduction of Mechanism of Surface Roughness on ArF-Photoresist Using C5HF7 Gas Plasma

    Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, A. Ito, H. Matsumoto, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013)  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:Westin Hotel Princeton, Princeton New Jersey, United States   国名:その他  

    Reduction of Mechanism of Surface Roughness on ArF-Photoresist Using C5HF7 Gas Plasma

  • Reduction of surface roughness on ArF-photoresist by C5HF7 gas plasma

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2013年5月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon & Hanhwa Resort Seorak, Sokcho,   国名:その他  

    Reduction of surface roughness on ArF-photoresist by C5HF7 gas plasma

  • Relation between gaseous radicals and μc-Si film property in SiH4/H2 plasma CVD

    A. Fukushima, Y. Lu, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Relation between gaseous radicals and μc-Si film property in SiH4/H2 plasma CVD

  • Sequential exposure of N and H atoms for recovery of plasma-damaged GaN

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Sequential exposure of N and H atoms for recovery of plasma-damaged GaN

  • Study on mechanism of gallium nitride growth employing a plasma-enhanced metal-organic chemical vapor deposition 国際会議

    Yi Lu, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

    Study on mechanism of gallium nitride growth employing a plasma-enhanced metal-organic chemical vapor deposition

  • Study on mechanism of ultrahigh speed synthesis of high crystallinity nanographene employing in-liquid plasma

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Study on mechanism of ultrahigh speed synthesis of high crystallinity nanographene employing in-liquid plasma

  • Study on precursor adsorption and reaction within SiO2 growth cycle of low temperature plasma-enhanced atomic layer deposition using in-situ ATR-FTIR

    Y. Lu, A. Kobayashi, Y. Kim, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Study on precursor adsorption and reaction within SiO2 growth cycle of low temperature plasma-enhanced atomic layer deposition using in-situ ATR-FTIR

  • Subsequent temporal change of gaseous H and N radical density in H2/N2 plasma after air exposure and its control

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Subsequent temporal change of gaseous H and N radical density in H2/N2 plasma after air exposure and its control

  • Superior properties of carbon nanowalls as cell scaffolds

    Y. Okamoto, H. Watanabe, K. Kubo, H. Kondo, N. Kaji, M. Tokeshi, M. Hori, Y. Baba

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Superior properties of carbon nanowalls as cell scaffolds

  • Surface Analysis of GaN at Elevated Substrate Temperature

    Kenji Ishikawa, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Wasington, USA   国名:その他  

    Surface Analysis of GaN at Elevated Substrate Temperature

  • Temperature measurement of carbon nanowall / silicon substrateusing super-continuum light source on low-coherence interferometry

    T. Hiraoka, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013年2月 

     詳細を見る

    記述言語:英語  

    開催地:Gero Synergy Center "ACTIVE" Gifu , JAPAN   国名:その他  

    Temperature measurement of carbon nanowall / silicon substrateusing super-continuum light source on low-coherence interferometry

  • The atmospheric pressure plasmas

    H. Kano, K. Takeda, H. Kondo, S. Den, Y. Higashijima, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    The atmospheric pressure plasmas

  • カーボンナノウォールの核発生制御に関する研究 国際会議

    塚田僚介, 平松美根男, 近藤博基, 堀勝

    応用物理学会SC東海地区学術講演会 2013  2013年11月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • カーボンナノウォールの表面化学修飾とナノバイオ応用 国際会議

    近藤博基, 渡邊均, 石川健治, 関根誠,堀勝, 平松美根男

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • カーボンナノウォールに対する酸素原子及びアルゴンイオンの同時照射効果 国際会議

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • カーボンナノウォールの結晶構造に対するラジカル酸化効果(Ⅱ) 国際会議

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:英語  

    開催地:神奈川工科大学   国名:その他  

  • ガスデザインに基づいた高選択絶縁膜エッチングの検討 国際会議

    宮脇雄大, 浅野高平, 近藤祐介, 竹田圭吾, 田嶋聡美, 近藤博基, 石川健治, 林俊雄, 関根誠, 伊東安曇, 松本裕一, 堀勝

    第156回シリコンテクノロジー研究集会  2013年2月 

     詳細を見る

    記述言語:日本語  

    開催地:東京大学本郷キャンパス   国名:その他  

  • ナノグラフェン合成中の液中プラズマの分光診断 国際会議

    安藤睦, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 太田貴之, 伊藤昌文, 平松美根男, 加納浩之, 堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • ハイドロフルオロカーボンプラズマによるArFフォトレジストの低ラフネスエッチング機構 国際会議

    浅野 高平, 宮脇 雄大, 石川 健治, 関根 誠, 伊東 安曇, 松本 裕一, 竹田 圭吾, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • ハイドロフルオロカーボンプラズマによるArFフォトレジストの低ラフネスエッチング機構 国際会議

    浅野高平, 宮脇雄大, 石川健治, 関根誠, 伊東安曇, 松本裕一, 竹田圭吾, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマCVDを用いて作製したカーボンナノウォールのバイオセンサ特性 国際会議

    永島 三千弥, 渡邊 均, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマCVDを用いて作製したカーボンナノウォールのバイオセンサ特性 国際会議

    永島三千弥, 渡邊均, 平松美根男, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマによるHとNラジカルの表面損失確率の変化 国際会議

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5) 国際会議

    王浩然, 石川健治, 堀邉英夫, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • プラズマプロセスによるArFフォトレジスト表面凹凸の解析 国際会議

    竹内拓也, 石川健治, 節原裕一, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(4) 国際会議

    石川健治, 堀邉英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝, 王浩然

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • プラズマ励気ミスト化学気相成長法を用いた絶縁膜合成 国際会議

    孫 昿達, 竹田 圭吾, 近藤 博基, 田嶋 聡美, 石川 健治, 関根 誠, 堀 勝, 伊藤 仁

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマ励気ミスト化学気相成長法を用いた絶縁膜合成 国際会議

    孫昿達, 竹田圭吾, 近藤博基, 田嶋聡美, 石川健治, 関根誠, 堀勝, 伊藤仁

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対する基板バイアス印加効果 国際会議

    中村将之, 賈凌雲, 徐達, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • プラズマ化学気相堆積法を用いたナノグラフェンの核発生によるカーボンナノウォールの選択成長 国際会議

    塚田 僚介, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマ化学気相堆積法を用いたナノグラフェンの核発生によるカーボンナノウォールの選択成長 国際会議

    塚田僚介, 平松美根男, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマ医療科学の創成とその展望 国際会議

    堀 勝, 井関 紗千子, 田中 昌弘, 石川 健治, 近藤 博基, 竹田 圭吾, 関根 誠, 中村 香江, 林 萌美, 梶山 広明, 加納 浩之, 吉川 史隆

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • プラズマ医療科学の創成とその展望 国際会議

    堀勝, 井関紗千子, 田中昌弘, 石川健治, 近藤博基, 竹田圭吾, 関根誠, 中村香江, 林萌美, 梶山広明, 加納浩之, 吉川史隆

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センターS会場   国名:その他  

  • プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究 国際会議

    石川健治, 記念講演, 鷲見直也, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    プラズマエレクトロニクス賞受賞記念講演、平成25年秋季第74回応用物理学会学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学京田辺キャンパス   国名:その他  

  • プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究 国際会議

    石川健治, 記念講演, 鷲見直也, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    プラズマエレクトロニクス賞受賞記念講演、平成25年秋季第74回応用物理学会学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学京田辺キャンパス   国名:その他  

  • プリンタブルエレクトロニクスに向けたプラズマ励起ミスト化学気相堆積技術に関する研究 国際会議

    孫昿達, 竹田圭吾, 伊藤仁, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 国際会議

    加島 洋平, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 国際会議

    加島洋平, 平松美根男, 近藤博基, 堀勝

    第30回プラズマプロセシング研究会  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • ミドリカビ胞子からの実時間その場ESR信号観察 国際会議

    石川 健治, 水野 寛子, 田中 宏昌, 橋爪 博司, 太田 貴之, 伊藤 昌文, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝

    第66回日本酸化ストレス学会学術集会  2013年6月 

     詳細を見る

    記述言語:日本語  

    開催地:WINCあいち,名古屋   国名:その他  

  • ラジカル注入型プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造及びラジカル密度に対するガス流量効果 国際会議

    徐達,賈凌雲, 中村将之, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • ラジカル注入型プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造及び電気特性に対するボロン注入効果 国際会議

    賈凌雲, 九鬼淳, 于楽泳, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

    Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

  • 先進プラズマ技術による産業イノベーション 国際会議

    堀勝, 関根誠, 近藤博基, 竹田圭吾

    テクノ・フェア名大2013 -工学が挑む新時代の科学・技術-  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学豊田講堂・シンポジオンホール   国名:その他  

  • 卵巣癌細胞におけるプラズマの抗腫瘍効果とROSの関与 国際会議

    中村 香江, 梶山 広明, 内海史, 田中 宏昌, 水野 正明, 石川 健治, 近藤 博基, 加納 浩之, 堀 勝, 吉川 史隆

    第66回日本酸化ストレス学会学術集会  2013年6月 

     詳細を見る

    記述言語:日本語  

    開催地:WINCあいち,名古屋   国名:その他  

  • 塩素ガスプラズマによるGaNエッチングにおける窒素ガス添加の効果 国際会議

    劉沢セイ, 朴鐘胤, 曹佳棟, 加古隆, 石川健治, 小田修, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • 塩素ガスプラズマによる窒素ガリウムの高温エッチング 国際会議

    米谷亮祐, 陳尚, 曹佳棟, 劉沢せい, 石川健治, 竹田圭吾, 近藤博基, 関根誠, 天野浩, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • 塩素ガスプラズマによる窒素ガリウムの高温エッチング 国際会議

    米谷亮祐, 陳尚, 曹佳棟, 劉沢せい, 石川健治, 竹田圭吾, 近藤博基, 関根誠, 天野浩, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • 大気圧プラズマを用いたミスト化学気相堆積法によるシリコン酸化膜の低温形成 国際会議

    孫昿達, 竹田圭吾, 伊藤仁, 近藤博基, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • 水素ラジカル注入型プラズマCVDによる微結晶シリコン膜の特性解析 国際会議

    阿部祐介, 三輪佳大, 福島敦史, 陸雅, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 液中プラズマで合成したナノグラフェンを用いた燃料電池用白金触媒電極の特性評価 国際会議

    天野智貴, 加納浩之, 竹田圭吾, 近藤博基, 太田貴之, 伊藤昌文, 平松美根男, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • 混合ガスプラズマ培養液によるグリオーマ脳腫瘍培養細胞に対する抗腫瘍効果の解析

    権田亮, 田中宏昌, 竹田圭吾, 田嶋聡美, 近藤博樹, 石川健治, 関根誠, 加納浩之, 水野正明, 堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 窒化ガリウム(GaN)向けたサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測(Ⅱ) 国際会議

    堤隆嘉, 竹田圭吾, 石川健治, 近藤博基, 太田貴之, 伊藤昌文, 関根誠, 堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 窒素プラズマを用いた化学修飾によるカーボンナノウォールの構造および電気的特性の制御 国際会議

    趙亨峻, 近藤博基, 石川健治, 関根誠, 平松美根男, 堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 超臨界流体を用いた2 段階担持プロセスによるカーボンナノウォールへのPtナノ微粒子の超高密度担持および粒径分布制御 国際会議

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 関根誠, 平松美根男, 堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • 超臨界流体を用いた2段階担持法によるカーボンナノウォールへの超高密度Pt-Auナノ微粒子担持 国際会議

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 平松美根男, 関根誠, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • 超臨界流体を用いた2段階担持法によるカーボンナノウォールへの超高密度Pt-Auナノ微粒子担持 国際会議

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 平松美根男, 関根誠, 堀勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • 電気二重層キャパシタに用いるカーボンナノウォール電極の電気化学評価 国際会議

    吉田 圭祐, 平松 美根男, 近藤 博基, 堀 勝

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:アクトシティ浜松・研修交流センター S会場   国名:その他  

  • 非平衡大気圧プラズマによる先端グリーン・ライフイノベーション 国際会議

    豊田 浩孝, 石川 健治, 堀 勝, 関根 誠, 近藤 博基, 竹田 圭吾

    テクノ・フェア名大2013 -工学が挑む新時代の科学・技術-  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学豊田講堂・シンポジオンホール   国名:その他  

  • A High Temperature Plasma Etching of GaN and Its Reaction Mechanism

    R. Kometani, S. Chen, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    A High Temperature Plasma Etching of GaN and Its Reaction Mechanism

  • A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism

    K. Asano, Y. Miyawaki, K. Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism

  • AC励起大気圧プラズマを用いた下水モニタリング装置の開発

    J.S KULARATNE, 加納 浩之, 伊藤 昌文, 太田 貴之, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム  2012年10月 

     詳細を見る

    記述言語:日本語  

    開催地:なごやサイエンスパーク、サイエンス交流プラザ大会議室   国名:その他  

  • Advanced Plasma Science and Technologies for Nanostructure Control of Carbon Nanomaterials

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan,   国名:その他  

    Advanced Plasma Science and Technologies for Nanostructure Control of Carbon Nanomaterials

  • An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN

    H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN

  • Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

    Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Toshio Hayashi, Masaru Hori

    International Conference on Plasma Science  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Edinburgh, Scotland, UK   国名:その他  

    Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

  • Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

    Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Toshio Hayashi, Masaru Hori

    International Conference on Plasma Science  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Edinburgh, Scotland, UK   国名:その他  

    Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals

  • Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences

    Masasu Hori (Plenary, Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Moemi Hayashi, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito, Hiroyuki Kano

    The 2nd International Symposium for Plasma Biosciences  2012年8月 

     詳細を見る

    記述言語:英語  

    開催地:Plasma Bioscience Research Center, Kwangwoon University, Seoul, Korea,   国名:その他  

    Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences

  • C5HF7ガスプラズマによるArFフォトレジストの表面ラフネス形成の低減機構 国際会議

    浅野高平, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 伊東安曇, 松本裕一, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • Change of GaAs Surface Properties by Irradiation of Cl2 Ions

    Jongyun Park, Takuya Takeuchi, Jiadong Cao, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Change of GaAs Surface Properties by Irradiation of Cl2 Ions

  • Comprehensive study of atmospheric pressure plasma

    M. Hori, K. Ishikawa, H. Kondo, K. Takeda, M. Tanaka, S. Makoto, T. Ohta, M. Ito

    69th IUVSTA Workshop on Oxidation of Organic Materials by Excited Radicals Created in Non-equilibrium Gaseous Plasma  2012年12月 

     詳細を見る

    記述言語:英語  

    開催地:Cerklje na Gorenskem, Slovenia (EU)   国名:その他  

    Comprehensive study of atmospheric pressure plasma

  • Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN)

    R. Kometani, S. Chen, J. Park, J. Cao, Y. Lu, K.Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa Convention Center in Florida   国名:その他  

    Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN)

  • Critical Factors of Synthesis Rate and Crystallinity of Nanographene in Ultrahigh-Speed Nanographene Synthesis Employing Alcohol Plasma

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea,   国名:その他  

    Critical Factors of Synthesis Rate and Crystallinity of Nanographene in Ultrahigh-Speed Nanographene Synthesis Employing Alcohol Plasma

  • Crystalline and Electrical Properties of Vertically-Laminated Carbon Nanowalls formed by Two-Step Growth Method

    H. Kondo, T. Kanda, M. Hiramatsu, K. Ishikawa, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa Convention Center in Florida   国名:その他  

    Crystalline and Electrical Properties of Vertically-Laminated Carbon Nanowalls formed by Two-Step Growth Method

  • Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma

    H. J. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    2012 International Conference on Solid State Devices and Materials (SSDM 2012)  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto International Conference Center,   国名:その他  

    Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma

  • Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea,   国名:その他  

    Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments

  • Effect of Nitrogen Doping on Photoconductivity of Amorphous Carbon Films Grown by Radical-injection Plasma-enhanced Chemical Vapor Deposition

    Leyong Yu, Jun Kuki, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Effect of Nitrogen Doping on Photoconductivity of Amorphous Carbon Films Grown by Radical-injection Plasma-enhanced Chemical Vapor Deposition

  • Effect of nitrogen doping on photoconductivity of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition 国際会議

    Leyong Yu, Jun Kuki, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Effect of nitrogen doping on photoconductivity of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

  • Effects of Carbon Nanowall Scaffold on HeLa Cell Culture

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Makoto Sekine, Mineo Hiramatsu, Yoshinobu Baba, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Effects of Carbon Nanowall Scaffold on HeLa Cell Culture

  • Effects of Edge Termination on Crystalline and Electronic Structures of Carbon Nanowalls by Post-growth Nitrogen Plasma Treatment

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition (E-MRS 2012 FALL MEETING)  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Warsaw University of Technology - POLAND   国名:その他  

    Effects of Edge Termination on Crystalline and Electronic Structures of Carbon Nanowalls by Post-growth Nitrogen Plasma Treatment

  • Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan,   国名:その他  

    Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

  • Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the International Union of Materials Research Society-International Conference in Asia-2012 (IUMRS-ICA-2012)  2012年8月 

     詳細を見る

    記述言語:英語  

    開催地:BEXCO(#43 APEC-ro, Haeundae-gu, Busan)   国名:その他  

    Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls

  • Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls

  • Effects of Pulse Bias on Crystallographic Properties of Amorphous Carbon Films Grown by Plasma-enhanced Chemical Vapor Deposition

    Jun Kuki, Leyong Yu, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Effects of Pulse Bias on Crystallographic Properties of Amorphous Carbon Films Grown by Plasma-enhanced Chemical Vapor Deposition

  • Electron Spin Resonance (ESR) observation of radicals on biological organism interacted with plasmas

    Ishikawa K

    Materials Research Society Symposium Proceedings  2012年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Electron Spin Resonance (ESR) observation of radicals on biological organism interacted with plasmas

  • Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma

    Kenji Ishikawa, Hiromasa Tanaka, Hiroko Moriyama, Sachiko Iseki, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroyuki Kano

    International Conference on Plasma Science  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Edinburgh, Scotland, UK   国名:その他  

    Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma

  • Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas, WW-2.6

    K. Ishikawa, S. Iseki, H. Moriyama, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    2012 MRS Spring Meeting & Exhibit, Moscone West California  2012年4月 

     詳細を見る

    記述言語:英語  

    開催地:Convention Center / Marriott Marquis, San Francisco,   国名:その他  

    Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas, WW-2.6

  • Evaluation of Relationship between μC-Si Film Property and Flux Ratio of H Radicals to Film Precursors

    A. Fukushima, Y. Abe, Y. Lu, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Evaluation of Relationship between μC-Si Film Property and Flux Ratio of H Radicals to Film Precursors

  • Fabrication of graphene-based films using remote plasma CVD

    Mineo Hiramatsu, Ryosuke Tsukada, Yohei Kashima, Masateru Naito, Hiroki Kondo, Masaru Hori

    65th Annual Gaseous Electronics Conference  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:the AT&T Conference Center on The University of Texas at Austin campus, Texas,   国名:その他  

    Fabrication of graphene-based films using remote plasma CVD

  • Field Electron Emitter Fabricated by Plasma Etching of Organic Material

    Toshiya Suzuki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Yuichi Setsuhara, Seiji Shiratani, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan,   国名:その他  

    Field Electron Emitter Fabricated by Plasma Etching of Organic Material

  • Field Electron Emitter Fabricated by Plasma Etching of Organic Material

    Toshiya Suzuki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Yuichi Setsuhara, Seiji Shiratani, Makoto Sekine, Masaru Hori

    the International Union of Materials Research Society-International Conference in Asia-2012 (IUMRS-ICA-2012)  2012年8月 

     詳細を見る

    記述言語:英語  

    開催地:BEXCO(#43 APEC-ro, Haeundae-gu, Busan)   国名:その他  

    Field Electron Emitter Fabricated by Plasma Etching of Organic Material

  • Formation of 10-nm Organic Pillars by Plasma Etch with Pt particle masks and their Field Emission Properties.

    Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondoi, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon, Korea   国名:その他  

    Formation of 10-nm Organic Pillars by Plasma Etch with Pt particle masks and their Field Emission Properties.

  • GaNにおけるプラズマダメージのラジカル修復(3) 国際会議

    Zecheng Liu, 陳 尚, 盧 翌, 米谷亮祐, 石川健治, 加納浩之, 竹田圭吾, 近藤博基, 関根 誠, 江川孝志, 堀 勝, 天野 浩

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • Generation Mechanism of Ions and Radicals in CHxFy Etching Plasmas

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Generation Mechanism of Ions and Radicals in CHxFy Etching Plasmas

  • Gradual transition of chemical structures at initial growth stage of carbon nanowalls

    Hiroki Kondo, Koji Yasuda, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition (E-MRS 2012 FALL MEETING)  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Warsaw University of Technology - POLAND   国名:その他  

    Gradual transition of chemical structures at initial growth stage of carbon nanowalls

  • Healing Process of Plasma-damaged Gallium Nitride (GaN)

    Kenji Ishikawa(invite, Shang Chen, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 1st International Conference on Emerging Advanced Nanomaterials (ICEAN),  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:the Mercure Hotel, Brisbane, Australia   国名:その他  

    Healing Process of Plasma-damaged Gallium Nitride (GaN)

  • High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

    Tsutsumi Takayoshi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon, Korea   国名:その他  

    High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

  • High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

    Tsutsumi Takayoshi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon, Korea   国名:その他  

    High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer

  • High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma

    Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma

  • High-speed synthesis and crystalinity control of nanographene using inliquid plasma in alcohol

    M.Hori, H.Kondo, T.Hagino, K.Ishikawa, K.Takeda, H.Kano, M.Sekine

    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Castelo de Santiago da Barra, Viana do Castelo, Potugal,   国名:その他  

    High-speed synthesis and crystalinity control of nanographene using inliquid plasma in alcohol

  • Highly Selective Etching of Dielectrics over SiC Film by DC Superposed Dual-Frequency CCP

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Highly Selective Etching of Dielectrics over SiC Film by DC Superposed Dual-Frequency CCP

  • Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP

  • Identification of ESR signals arisen from Penicillium digitatum spores-2 国際会議

    Hiroko Mizuno, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Identification of ESR signals arisen from Penicillium digitatum spores-2

  • Impact of Last Reactor Condition to Radical Density in Subsequent H2/N2 plasma and its Control 国際会議

    Toshiya Suzuki, Arkadiusz Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuiti Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Impact of Last Reactor Condition to Radical Density in Subsequent H2/N2 plasma and its Control

  • In Situ XPS Analysis of ArF Photoresist Modification Caused by Fluorocarbon Ions and Radicals

    T. Takeuchi, S. Amasaki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan,   国名:その他  

    In Situ XPS Analysis of ArF Photoresist Modification Caused by Fluorocarbon Ions and Radicals

  • Influence of Last Condition in Plasma Reactor on Gaseous Radical Density

    Toshiya Suzuki, Arkadiusz Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Influence of Last Condition in Plasma Reactor on Gaseous Radical Density

  • Interface Trap Generation by VUV/UV Radiation from Fluorocarbon Plasma

    M. Fukasawa, Y.Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, H. Matsugai, T. Honda, M. Minami, F. Uesawa, M. Hori, T. Tatsumi

    59th AVS International Symposium and Exhibition  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa Convention Center in Florida   国名:その他  

    Interface Trap Generation by VUV/UV Radiation from Fluorocarbon Plasma

  • Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

    Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, Andrzej Jakubowski, Lidia Lukasiak

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan,   国名:その他  

    Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

  • Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

    Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, Andrzej Jakubowski, Lidia Lukasiak

    the International Union of Materials Research Society-International Conference in Asia-2012 (IUMRS-ICA-2012)  2012年8月 

     詳細を見る

    記述言語:英語  

    開催地:BEXCO(#43 APEC-ro, Haeundae-gu, Busan)   国名:その他  

    Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development

  • Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist

    A.Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Takeuchi, T. Suzuki, A. Jakubowski, L. Lukasiak, D.Tomaszewski

    59th AVS International Symposium and Exhibition  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa Convention Center in Florida   国名:その他  

    Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist

  • Investigation of modification of ArF photoresist during plasma etching processes

    K.Takeuchi, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, M.Hori

    14th Annual TECHCON Conference Technology&Talent for the 21st Century  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Renaissance Austin Hotel,Austin, Texas   国名:その他  

    Investigation of modification of ArF photoresist during plasma etching processes

  • Low Temperature Deposition and Characteristics of GaN Thin Film by HF-PECVD

    Youn Joon Kim, Yi Lu, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Low Temperature Deposition and Characteristics of GaN Thin Film by HF-PECVD

  • Measurement of SiH3 Radicals in SiH4/H2 Plasma for Silicon Thin-Film Solar Cells 国際会議

    Yusuke Abe, Atsushi Fukushima, Ya Lu, Youn Joon Kim, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • Measuring Metal Elements in Food Products Using Ar Atmospheric Pressure Plasma 国際会議

    Jagath Kularatne, Hiroyuki Kano, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Measuring Metal Elements in Food Products Using Ar Atmospheric Pressure Plasma

  • Mechanism and Control of Roughness Formation on ArF Photoresist by C5HF7 Etching Plasma

    Kohei Asano, Yudai Miyawaki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Azumi Ito, Hirokazu Matsumoto, Hiroki Kondo, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Mechanism and Control of Roughness Formation on ArF Photoresist by C5HF7 Etching Plasma

  • Mechanism of Etching and Generating Active Species in CHxFy Plasma 国際会議

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Mechanism of Etching and Generating Active Species in CHxFy Plasma

  • Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa Convention Center in Florida   国名:その他  

    Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis

  • Mechanism of Generating Ions and Radicals in C3F6O Plasma

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M.Sekine, M. Hori

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2012年1月 

     詳細を見る

    記述言語:英語  

    開催地:Kyushu University   国名:その他  

    Mechanism of Generating Ions and Radicals in C3F6O Plasma

  • Microcrystal Orientation of Silicon Thin Film Deposited with Hydrogen Radical Injection Plasma Enhanced CVD

    Lu Ya, Astushi Fukushima, Yusuke Abe, Youn J. Kim, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Microcrystal Orientation of Silicon Thin Film Deposited with Hydrogen Radical Injection Plasma Enhanced CVD

  • Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam

    T. Takeuchi, S. Amasaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2012年1月 

     詳細を見る

    記述言語:英語  

    開催地:Kyushu University   国名:その他  

    Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam

  • Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry

    Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry

  • Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition (E-MRS 2012 FALL MEETING)  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Warsaw University of Technology - POLAND   国名:その他  

    Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition

  • Nucleation mechanism of self-organized vertical nano-graphenes grown using inductively coupled plasma enhanced chemical vapor deposition

    R. Tsukada, Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    Nucleation mechanism of self-organized vertical nano-graphenes grown using inductively coupled plasma enhanced chemical vapor deposition

  • Observation of Plasma-Exposed GaN Surface at High Temperature

    Ryosuke Kometani, Shang Chen, Yi Lu, David Cao, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takashi Egawa, Horishi Amano, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Observation of Plasma-Exposed GaN Surface at High Temperature

  • Optical Properties of Carbon Nano Walls in Terahertz Frequencies Region

    Takehiro Hiraoka, Keigo Takeda, Takayuki Ohta, Noboru Ebitsuka, Hiroki Kondo, Kenji Ishikawa, Kodo Kawase, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Optical Properties of Carbon Nano Walls in Terahertz Frequencies Region

  • Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma

    M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, K. Nagahata, F. Uesawa, M. Hori, T. Tatsumi

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma

  • Plasma surface modification of carbon nanowalls for biosensor application

    M. Nagashima, T. Maeda, M. Hiramatsu, H. Watanabe, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    Plasma surface modification of carbon nanowalls for biosensor application

  • Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ Electron Spin Resonance Measurements

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Taked, H. Kondo, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012)  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Takeda Sentanchi Building, Asano campus of the University of Tokyo   国名:その他  

    Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ Electron Spin Resonance Measurements

  • Properties of GaAs surface by ion irradiation of chlorine 国際会議

    Jongyun Park, Takuya Takeuchi, Jiadong Cao, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Properties of GaAs surface by ion irradiation of chlorine

  • Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon, Korea   国名:その他  

    Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

  • Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon, Korea   国名:その他  

    Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas

  • Real time in situ Electron Spin Resonance (ESR) study of Surface Reaction on Polymer Interacted with Plasma

    Masaru Hori, Kenji Ishikawa, Naoya Sumi, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Akihiko Kono, Hideo Horibe

    International Conference on Plasma Science  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Edinburgh, Scotland, UK   国名:その他  

    Real time in situ Electron Spin Resonance (ESR) study of Surface Reaction on Polymer Interacted with Plasma

  • Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas

    K. Ishikawa, H. Moriyama, N. Sumi, K. Tamiya, H. Hashizume, T. Ohta, M. Ito, A. Kono, H. Horibe, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan,   国名:その他  

    Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas

  • Reconsideration on Effects of H Radicals on Thin Film Surfaces in Plasma Enhanced Chemical Vapor Deposition employing SiH4/H2 Gases

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Young Joon Kim, Makoto Sekine

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Reconsideration on Effects of H Radicals on Thin Film Surfaces in Plasma Enhanced Chemical Vapor Deposition employing SiH4/H2 Gases

  • Recovery of Plasma-Damaged GaN by Employing Exposure of Radicals

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, Hiroyuki Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Recovery of Plasma-Damaged GaN by Employing Exposure of Radicals

  • Relationship between Ion Energy and Highly Selective SiO2 Etching Characteristics using C5HF7 Gas 国際会議

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Ishikawa Kenji, Azumi Ito, Hirikazu Matsumoto, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Relationship between Ion Energy and Highly Selective SiO2 Etching Characteristics using C5HF7 Gas

  • Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma (NEAPP)

    S. Iseki, K. Nakamura, M. Hayashi, H. Tanaka, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    4th International Conference on Plasma Medicine  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Orlean, France,   国名:その他  

    Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma (NEAPP)

  • Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma, WW-2.4

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M.Hori

    2012 MRS Spring Meeting & Exhibit, Moscone West California  2012年4月 

     詳細を見る

    記述言語:英語  

    開催地:Convention Center / Marriott Marquis, San Francisco,   国名:その他  

    Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma, WW-2.4

  • Selective killing of ovarian cancer cells through induction of apoptosis by a nonequilibrium atmospheric pressure plasma

    Tanaka H

    Materials Research Society Symposium Proceedings  2012年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Selective killing of ovarian cancer cells through induction of apoptosis by a nonequilibrium atmospheric pressure plasma

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Tanaka Hiromasa, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon, Korea   国名:その他  

    Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Tanaka Hiromasa, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon, Korea   国名:その他  

    Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

  • SiH4/H2プラズマ化学気相堆積法におけるHラジカル・成膜前駆体フラックス比とシリコン薄膜膜質の関係性 国際会議

    福島敦史, 阿部祐介, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝, 陸 雅

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • Silicon full wafer bonding with atomic layer deposited titanium dioxide and aluminum oxide intermediate films

    Puurunen R

    Sensors and Actuators, A: Physical  2012年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Silicon full wafer bonding with atomic layer deposited titanium dioxide and aluminum oxide intermediate films

  • Spectroscopic Determination of Radical Densities in SiH4/H2 Plasma

    Yusuke Abe, Atsushi Fukushima, Ya Lu, Youn Joon Kim, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Spectroscopic Determination of Radical Densities in SiH4/H2 Plasma

  • Studies on Plasma Etching of Si3N4 Employing New Alternative Gas, C5HF7

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Azumi Ito, Hirokazu Matsumoto, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Studies on Plasma Etching of Si3N4 Employing New Alternative Gas, C5HF7

  • Study on reaction mechanism of plasma-enhanced atomic layer deposition of SiO2 using in-situ ATR-FTIR 国際会議

    Yi Lu, Akiko Kobayashi, Youn Joon Kim, Kenji Ishikawa, Hiroki Kond, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Study on reaction mechanism of plasma-enhanced atomic layer deposition of SiO2 using in-situ ATR-FTIR

  • Study on synthesis mechanisms of nano-graphene materials employing alcohol in-liquid plasma 国際会議

    近藤博基, 萩野達也, 石川健治, 関根 誠, 加納浩之, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Study on synthesis mechanisms of nano-graphene materials employing alcohol in-liquid plasma

  • Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes

    T. Suzuki, A. Malinowski, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa Convention Center in Florida   国名:その他  

    Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes

  • Surface Roughness on Plasma-Etched Gallium Nitride (GaN)

    Kenji Ishiwaka, Ryosuke Kometani, Shang Chen, Yi Lu, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan,   国名:その他  

    Surface Roughness on Plasma-Etched Gallium Nitride (GaN)

  • Temperature measurement of substrate with a thin film using low-coherence interference

    Takayoshi Tsutsumi, Takehiro Hiraoka, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    65th Annual Gaseous Electronics Conference  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:the AT&T Conference Center on The University of Texas at Austin campus, Texas,   国名:その他  

    Temperature measurement of substrate with a thin film using low-coherence interference

  • The Formation of the Insulating Film with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition by Hexamethyldisiloxan Mist

    Kuangda Sun, Keigo Takeda, Hiroki Kondo, Satomi Tajima, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Hitoshi Itoh

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    The Formation of the Insulating Film with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition by Hexamethyldisiloxan Mist

  • Two-Step Supporting of High-Density Metal Nanoparticle Catalysts on Carbon Nanowalls using Supercritical Fluid

    Takeyoshi Horibe, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  2012年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto University ROHM Plaza, Kyoto, Japan,   国名:その他  

    Two-Step Supporting of High-Density Metal Nanoparticle Catalysts on Carbon Nanowalls using Supercritical Fluid

  • Vibrational Sum-Frequency-Generation (SFG))Spectroscopic study-2 国際会議

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

    Vibrational Sum-Frequency-Generation (SFG))Spectroscopic study-2

  • 「講演奨励賞受賞記念講演」有機材料エッチングによる電界電子放出ナノピラーの作成(II) 国際会議

    Arkadiusz Malinowski, 竹田圭吾, 近藤博基, 石川健治, 節原裕一, 白谷正治, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • カーボンナノウォールのテラヘルツ時間領域分光計測による光学特性評価 国際会議

    平岡丈弘, 竹田圭吾, 太田貴之, 海老塚昇, 近藤博基, 石川健治, 伊藤昌文, 川瀬晃道, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • カーボンナノウォールの結晶構造に対するラジカル酸化効果 国際会議

    下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • プラズマからの紫外線照射によるSiNx:H/Si界面準位生成 国際会議

    深沢正永, 松谷弘康, 本多孝好, 宮脇雄大, 近藤祐介, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 南 正樹, 上澤史且, 堀 勝, 辰巳哲也

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対するパルスバイアス印加効果 国際会議

    九鬼 淳, 于 楽泳, 近藤博基, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • プリンタブルエレクトロニクスに向けた大気圧ミスト化学気相堆積技術の構築 国際会議

    孫 昿達, 竹田圭吾, 近藤博基, 田嶋聡美, 石川健治, 関根 誠, 堀 勝, 伊藤 仁

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 二周波容量結合型プラズマへのDCバイアス重畳によるSiC膜に対する高選択比絶縁膜エッチング 国際会議

    古室達也, 竹田圭吾, 石川健治, 関根 誠, 大矢欣伸, 近藤博基, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 次世代天文学観測装置用の新しい回折格子 国際会議

    海老塚 昇, 佐々木 実, 青木 和光, Andrea Bianco

    第37回 光学シンポジウム  2012年6月 

     詳細を見る

    記述言語:日本語  

    開催地:東京大学 生産技術研究所 An棟 コンベンションホール   国名:その他  

  • 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜のRFパワーによる膜質変化 国際会議

    陸 雅, 福島敦史, 阿部祐介, 金 淵俊, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 窒化ガリウム(GaN)向けサファイア基板の周波数領域型低コヒーレンス干渉計による温度計 国際会議

    堤 隆嘉, 竹田圭吾, 石川健治, 近藤博基, 太田貴之, 伊藤昌文, 関根 誠, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 窒素/水素混合ガスプラズマを用いたグラフェンエッジ化学修飾によるカーボンナノウォールの電気的特性制御 国際会議

    趙 亨峻, 近藤博基, 石川健治, 関根 誠, 平松美根男, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 細胞培養に対するカーボンナノウォール足場の効果 国際会議

    渡邊 均, 近藤博基, 岡本行広, 関根 誠, 平松美根男, 堀 勝, 馬場嘉信

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 超臨界流体を用いたカーボンナノウォール(CNWs)へのPt, Au微粒子の2段階担持における微粒子相互作用 国際会議

    堀部剛良, 近藤博基, 加納浩之, 石川健治, 関根 誠, 平松美根男, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 高温における窒化ガリウム(GaN)のエッチング機構(3) 国際会議

    米谷亮祐, 陳 尚, 曹 佳棟, Michael Liu, 石川健冶, 竹田圭吾, 近藤博基, 関根 誠, 江川孝志, 天野 浩, 堀 勝

    平成24年秋季第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:その他  

  • 10 GHzマイクロ波スロット励起大気圧プラズマにおけるプラズマ生成と活性種の挙動に関する研究 国際会議

    加藤正規, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝, 三好秀典, 久保田雄介, 伊藤 仁

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • Advanced Plasma Nanotechnology fir Control Synthesis and Biomedical Applications of Carbon Nanomaterials

    HirokiKondo(Invite

    NanoMedicine-2011  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:Shenzhen Convention&Exhibition Center   国名:その他  

    Advanced Plasma Nanotechnology fir Control Synthesis and Biomedical Applications of Carbon Nanomaterials

  • Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment

    H.Watanabe, K.Takeda, H.Kondo, M.Hiramatsu, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials,  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment

  • Ar Flow Rate Dependence of Emission Intensity of Metal Atoms in Atmospheric Pressure Atomization Plasma 国際会議

    Jagath Kularatne, 太田貴之, 伊藤昌文, 加納浩之, 竹田圭吾, 近藤博基, 石川健治, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:英語  

    開催地:山形大学   国名:その他  

    Ar Flow Rate Dependence of Emission Intensity of Metal Atoms in Atmospheric Pressure Atomization Plasma

  • Area-selective of Carbon Nanowalls by Using Catalyzed Substrate

    Y.Nihashi, M.Hiramatsu, H.Kondo, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Area-selective of Carbon Nanowalls by Using Catalyzed Substrate

  • Atom Behaivior of Ultrahigh Density 60 Hz Atmospheric Pressure Plasma under Ar ambient Conditions 国際会議

    F.Jia, K.Takeda, K.Ishikawa, H.Kano.H.Kondo, M.sekine, M.Hori

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:英語  

    開催地:名古屋大学   国名:その他  

    Atom Behaivior of Ultrahigh Density 60 Hz Atmospheric Pressure Plasma under Ar ambient Conditions

  • Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film

    Y.Abe,A.Fukushima, Y.Lu, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    64thAnnualGaseouselectronicsConference  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:SaltLakeCity   国名:その他  

    Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film

  • C3F6/O2プラズマ中のイオン・ラジカルの生成機構 国際会議

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 林俊雄, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明(II) 国際会議

    近藤祐介, 宮脇雄大, 竹田圭吾, 石川健治, 近藤博基, 林俊雄, 関根誠, 岡本秀一, 堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • C5HF7 Chemistry for Highly Selective Etch of SiO2 over Si

    Y.Miyawaki, Y.Kondo, H.yamamoto, K.Takeda, K.Ishikawa, A.yamazaki, A.Ito, H.Matsumoto, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    C5HF7 Chemistry for Highly Selective Etch of SiO2 over Si

  • C5HF7/O2/ArプラズマによるArfレジスト表面ラフネス発生抑制機構 (II) 国際会議

    浅野高平, 山本 洋, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝, 山崎敦代, 伊藤安曇, 松本裕一

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • CH4/H2プラズマを用いて成長したカーボンナノウォールの結晶構造及び電気的特徴 国際会議

    趙 亨峻, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    PlasmaConference2011Conference&Exhibition  2011年11月 

     詳細を見る

    記述言語:日本語  

    開催地:石川県立音楽堂   国名:その他  

  • CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気伝導度の温度依存性 国際会議

    趙亨峻, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気的特性 国際会議

    趙 亨峻, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • Carbon nanowalls: growth mechanism and nucleation control

    Invited, M. Hiramatsu, H. Kondo, M. Hori

    International Conference on Processing & Manufacturing of Advanced Materials (THERMEC'2011)  2011年8月 

     詳細を見る

    記述言語:英語  

    開催地:Québec City Convention Center   国名:その他  

    Carbon nanowalls: growth mechanism and nucleation control

  • Carbon nanowalls:synthesis and application

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori(Invited

    4th International conference on advanced plasma technologies,  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Strunjan   国名:その他  

    Carbon nanowalls:synthesis and application

  • Chemical Modeling of Etch Process Using C3F6O Alternative Gas

    Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Chemical Modeling of Etch Process Using C3F6O Alternative Gas

  • Chemical Modeling of Etch Process Using C3F6O Alternative Gas

    Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Chemical Modeling of Etch Process Using C3F6O Alternative Gas

  • Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy 国際会議

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    第24回 プラズマ材料科学シンポジウム(SPSM24)  2011年7月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

  • Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy 国際会議

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    第24回 プラズマ材料科学シンポジウム(SPSM24)  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka University   国名:その他  

    Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

  • Control of Bridging Growth and Electrical properties of Single Carbon Nanowalls

    T. Kanda, H. Kondo, K. Yamakawa, M. Hiramatsu, K. Takeda, K. Ishikawa, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science,  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Control of Bridging Growth and Electrical properties of Single Carbon Nanowalls

  • Controlled Synthesis of Carbon Nanowalls and their Characterization Employing Synchrotron X-ray

    HirokiKondo

    BIT's1stAnnual World Congress of Nano-S&T,  2011年10月 

     詳細を見る

    記述言語:英語  

    開催地:World EXPOCenter   国名:その他  

    Controlled Synthesis of Carbon Nanowalls and their Characterization Employing Synchrotron X-ray

  • Controlled Synthesis of Carbon Nanowalls for Functional Device Applications

    Hiroki Kondo, Masaru Hori, Makoto Sekine, Mineo Hiramatsu(Invited

    4th International conference on advanced plasma technologies,  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Strunjan   国名:その他  

    Controlled Synthesis of Carbon Nanowalls for Functional Device Applications

  • Crystallinity Control of Microcrystalline Silicon film Employing Hydrogen Radical-injection Plasma-enhanced Chemical Vapor Deposition

    S.Kawashima, Y.Abe, K.Takeda, K.ishikawa, H.Kondo, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Crystallinity Control of Microcrystalline Silicon film Employing Hydrogen Radical-injection Plasma-enhanced Chemical Vapor Deposition

  • Crystallographic Analysis of Amorphous Carbon Films Synthesized by Radical-injection Plasma-enhanced Chemical Vapor Deposition 国際会議

    L.Yu,J.Kuki, K.Takeda, H.Kondo, K.ishikawa, M.Sekine, M.Hori

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:英語  

    開催地:名古屋大学   国名:その他  

    Crystallographic Analysis of Amorphous Carbon Films Synthesized by Radical-injection Plasma-enhanced Chemical Vapor Deposition

  • Crystallographic and Electrical Properties of Semiconducting Graphene Nanoribbon Grown Employing CH4/H2 plasma

    H.J.Cho, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    2011SSDM  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:WINC AICHI   国名:その他  

    Crystallographic and Electrical Properties of Semiconducting Graphene Nanoribbon Grown Employing CH4/H2 plasma

  • DCバイアス重畳二周波容量結合型プラズマの電子密度空間分布計測 国際会議

    古室達也, 竹田圭吾, 石川健治, 関根 誠, 大矢欣伸, 近藤博基, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • Deep Level Defect in GaN after Plasma Beam Etching

    S.Chen,R.Kometani, K.Ishikawa, H.Kondo, K.Takeda, H.Kano, Y.Tokuda, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Deep Level Defect in GaN after Plasma Beam Etching

  • Determination of C Atom Density in Microwave Plasma with Carbon-Containing Gases by Vacuum Ultraviolet Absorption Spectroscopy

    K.Murata, M.Naito, M.Hiramatsu, S.Takashima, H.Kondo, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Determination of C Atom Density in Microwave Plasma with Carbon-Containing Gases by Vacuum Ultraviolet Absorption Spectroscopy

  • Development of radical kinetic behaivour investigation method and its application for sticking coefficient estimation

    A.Malinowski, M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda

    ESSDERC2011  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:FinlandiaHall,2011   国名:その他  

    Development of radical kinetic behaivour investigation method and its application for sticking coefficient estimation

  • Diagnostics and Surface Reaction Analysis on RF Sputtering Process for Co Nanoparticles Formation

    I-S Bae, K. Kuno, M. Ito, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science,  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Diagnostics and Surface Reaction Analysis on RF Sputtering Process for Co Nanoparticles Formation

  • Effect of Hydrogen Radical Injection on Growth Property and Crystallinity of Microcrystalline Silicon Thin Film

    Y.Abe,A.Fukushima, Y.Lu, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    2011SSDM  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:WINC AICHI,   国名:その他  

    Effect of Hydrogen Radical Injection on Growth Property and Crystallinity of Microcrystalline Silicon Thin Film

  • Effects of Argon Flow Rate on the Atomic Emission Process of Cu Solution in Atmospheric Pressure Plasma

    J.Kularatne, H.Kano, M.Ito, T.Ohta, K.Takeda, H.Kondo, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Effects of Argon Flow Rate on the Atomic Emission Process of Cu Solution in Atmospheric Pressure Plasma

  • Effects of Oxgen Radical Irradiation on Crystalline Structures of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Hiramatsu Mineo, Makoto Sekine, Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:DaejeonConventionCenter   国名:その他  

    Effects of Oxgen Radical Irradiation on Crystalline Structures of Carbon Nanowalls

  • Effects of RF Bias on Structural Properties of Amorphous Carbon Films Grown by Plasma-Enhanced Chemical Vapor Deposition

    J.Kuki, L.Yu.H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    ICTF-15  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto Terrasa   国名:その他  

    Effects of RF Bias on Structural Properties of Amorphous Carbon Films Grown by Plasma-Enhanced Chemical Vapor Deposition

  • Effects of Substrate Temperatures on Crystallographic Changes of Carbon Nanowalls Induced by radical Irradiation

    H.Shimoeda, H.Kondo, K.Taakeda, K.Ishikawa, M.Hiramatsu, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Effects of Substrate Temperatures on Crystallographic Changes of Carbon Nanowalls Induced by radical Irradiation

  • Electric and Crystallographic Structures of Carbon Nanowalls

    HirokiKondo,HyungJunCho, TakayukiKanda, MasaruHori,MineoHiramatsu

    2011MRS Fall Meeting  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:Hynes Convention Center   国名:その他  

    Electric and Crystallographic Structures of Carbon Nanowalls

  • Electrical and Chemical Structures of Nanographene Nucleated at Initial Growth Processes of Carbon Nanowalls

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Electrical and Chemical Structures of Nanographene Nucleated at Initial Growth Processes of Carbon Nanowalls

  • Electron-SpinResonance(ESR)Analyisis of Plasma-SurfaceInteraction 国際会議

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka University   国名:その他  

    Electron-SpinResonance(ESR)Analyisis of Plasma-SurfaceInteraction

  • Evalutaion of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy

    T.Hiraoka, N.Ebizuka, K.Takeda, T.Ohta, H.Kondo, K.Ishikawa, K.Kawase, M.Ito, M.Sekine, M.Hori

    64thAnnualGaseouselectronicsConference  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:SaltLakeCity   国名:その他  

    Evalutaion of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy

  • Fabrication and Electrical Conductivity Measurements of Single Carbon Nanowall Bridging between Metal Eectrodes

    T.Kanda, K.Yamakawa, H.Kondo, M.Hiramatsu, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technolog   国名:その他  

    Fabrication and Electrical Conductivity Measurements of Single Carbon Nanowall Bridging between Metal Eectrodes

  • Fabrication of Carbon Nanowalls on Carbon Fiber Paper

    S.Mitsuguchi, M.Hiramatsu, H.Kondo, M.Hori, H.Kano

    2011SSDM  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:WINC AICHI,   国名:その他  

    Fabrication of Carbon Nanowalls on Carbon Fiber Paper

  • Fabrication of High-Crystallinity and low-Defect-Density Microcrystalline Silicon Thin Film Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 国際会議

    Yusuke Abe, Sho Kawashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka University   国名:その他  

    Fabrication of High-Crystallinity and low-Defect-Density Microcrystalline Silicon Thin Film Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition

  • Fabrication of carbon nanotubes from camphor using atmospheric pressure plasma-enhanced CVD

    K. Murata, M. Hiramatsu, K. Yamakawa, H. Kondo, M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011)  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Congress Centre   国名:その他  

    Fabrication of carbon nanotubes from camphor using atmospheric pressure plasma-enhanced CVD

  • Field Emission Properties of 10-nm Pillars of Organic Fabricated by Pt particles and Plasma Etching

    T.Suzuki, K.Takeda, H.Kondo, K.Ishikawa, Y.Setsuhara, M.Shiratani, M.Sekine, M.Hori

    2011SSDM  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:WINC AICHI   国名:その他  

    Field Emission Properties of 10-nm Pillars of Organic Fabricated by Pt particles and Plasma Etching

  • Formation of Ultrahigh Density TiO2 Nanoparticles Supporting on Carbon Nanowalls Employing Supercritical Fluid Chemical Fluid Deposition

    T.Horibe, S.Mitsuguchi, M.Hiramatsu, H.Kondo, M.Sekine, M.Hori

    ICTF-15  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoTerrase   国名:その他  

    Formation of Ultrahigh Density TiO2 Nanoparticles Supporting on Carbon Nanowalls Employing Supercritical Fluid Chemical Fluid Deposition

  • GaNにおけるプラズマダメージの水素ラジカル修復 国際会議

    陳 尚 盧, 翌, 米谷亮祐, 竹田圭吾, 石川健治, 近藤博基, 加納浩之, 徳田 豊 関根 誠, 江川孝志, 天野 浩, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • Gas Phase Reaction of Activated Species in O2/Ar Nonequilibrium Atmospheric Pressure Plasma

    M. Kato, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science,  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall,   国名:その他  

    Gas Phase Reaction of Activated Species in O2/Ar Nonequilibrium Atmospheric Pressure Plasma

  • Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell

    H.Kondo(in

    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Cerklje,Slovenia(EU)   国名:その他  

    Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell

  • Growth of Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition Employing Camphor 国際会議

    Yuki Nihashi, Mineo Hiramatsu, HirokiKondo, MasaruHori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka University   国名:その他  

    Growth of Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition Employing Camphor

  • Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Cheemical Vapor Deposition on Carbon Fiber Paper 国際会議

    Shinji Mitsuguchi, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4)  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka University   国名:その他  

    Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Cheemical Vapor Deposition on Carbon Fiber Paper

  • Growth of carbon nanowalls on carbon paper for fuel cell electrode

    S. Mitsuguchi, Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011)  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Congress Centre   国名:その他  

    Growth of carbon nanowalls on carbon paper for fuel cell electrode

  • Growth of two-dimensional carbon nanostructures using plasma-enhanced chemical vapor deposition employing camphor

    Y. Nihashi, T. Maeda, M. Hiramatsu, H. Kondo, M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011)  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Congress Centre   国名:その他  

    Growth of two-dimensional carbon nanostructures using plasma-enhanced chemical vapor deposition employing camphor

  • Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma

    HirokiKondo

    ICMAP2011  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Dalian   国名:その他  

    Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma

  • High Performance of 60-Hz Atmospheric Pressure Plasma: Basic Characteristics and Applications

    F.Jia, K.Takeda, K.Ishikawa, H.Inui, S.Iseki, H.Kano, H.Kondo, M.Sekine, M.Hori

    AVS58thINternationalSymposium&Exhibition  2011年10月 

     詳細を見る

    記述言語:英語  

    開催地:Nashville Convention Center   国名:その他  

    High Performance of 60-Hz Atmospheric Pressure Plasma: Basic Characteristics and Applications

  • High Temprerature N2 Plasma Induced Stoichiometric Modification In Etched GaN Surface Using Angle-resolved XPS Depth Profiling Analysis 国際会議

    Y.Lu, S.Chen, R.Kometani, K.Ishikawa, H.Kondo, K.Takeda, M.Sekine, T.Egawa, H.Amano, M.Hori

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:英語  

    開催地:名古屋大学   国名:その他  

    High Temprerature N2 Plasma Induced Stoichiometric Modification In Etched GaN Surface Using Angle-resolved XPS Depth Profiling Analysis

  • Higly Spatial Mapping of Atomic Oxgen Dentisy in a 60-Hz Atmospheric Pressure Plasmas Using Clibrated two-Photon Laser-Induced Fluorescence

    Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Hiroki Kondo, Makoto Sekine, Masaru Hori

    AEPSE2011  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:FuramaHotel   国名:その他  

    Higly Spatial Mapping of Atomic Oxgen Dentisy in a 60-Hz Atmospheric Pressure Plasmas Using Clibrated two-Photon Laser-Induced Fluorescence

  • In Situ Analysis of Plasma-Induced Modification on Porous SiOCH Films

    H.Yamamoto, K.Asano, K.Ishikawa, K.Takeda, H.Kondo, M.Sekine, M.Hori

    2011SSDM  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:WINC AICHI,   国名:その他  

    In Situ Analysis of Plasma-Induced Modification on Porous SiOCH Films

  • In situ ESR Masurements for revealing Plasma-Surface Interactions

    KenjiIshikawa,NaoyaSumi, AkihikoKono, HideoHoribe, KeigoTakeda, HirokiKondo, MakotoSekine, MasaruHori

    AEPSE2011  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:FuramaHotel   国名:その他  

    In situ ESR Masurements for revealing Plasma-Surface Interactions

  • In situ XPS Analysis of Surface Modification on ArF Photoresist by Fluorocarbon Plasma Beams

    T.Takeuchi, S.Amasaki, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    In situ XPS Analysis of Surface Modification on ArF Photoresist by Fluorocarbon Plasma Beams

  • In-situ Analysis of Modification on Porous SiOCH During and After O2 Plasmas

    K.Asano, H.Yamamoto, K.Ishikawa, K.Takeda, H.Kondo, M.Sekine, M.Hori

    ADMETA Plus 2011  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Shibaura Institute of Technology   国名:その他  

    In-situ Analysis of Modification on Porous SiOCH During and After O2 Plasmas

  • In-situ FTIR Analysis of Porous Low-k Film Exposed to O2 Plasma

    K.Asano, H.yamamoto, K.Takeda, K.ishikawa, H.Kondo, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    In-situ FTIR Analysis of Porous Low-k Film Exposed to O2 Plasma

  • In-situ FTIRを用いたプラズマ曝露および大気曝露によるポーラスSiOCH low-k膜の化学組成変化解析 国際会議

    山本洋, 浅野高平, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • In-situX線光電子分光法によるGaNをプラズマビームの相互作用解明 国際会議

    米谷亮祐, 陳尚, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 節原裕一, 江川孝志, 天野浩, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching

    K.Asano, H.Yamamoto, Y.Miyawaki, K.Takeda, H.Kondo, K.Ishikawa, A.Yamazaki, A.Ito, H.Matsumoto, M.Sekine, M.Hori

    DPS2011  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoGarden Place Hotel   国名:その他  

    Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching

  • Interaction of Gallium Nitride(GaN)Surface with chlorine Plasma Beams Analyzed by In-situ XPS

    R.Kometani, S.Chen, K.ishikawa, H.Kondo, K.takeda, M.Sekine, H.Amano, Y.Setsuhara, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Interaction of Gallium Nitride(GaN)Surface with chlorine Plasma Beams Analyzed by In-situ XPS

  • Investigation of GaN Exposed to Plasma at High Temperature

    R.Kometani, S.Chen.Y.Lu, K.Ishikawa, K.Takeda, H.Kondo, T.egawa, H.Amano, M.Sekine, M.Hori

    DPS2011  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoGardenPlaceHotel   国名:その他  

    Investigation of GaN Exposed to Plasma at High Temperature

  • Investigation of Si Etch Reaction with F and O Radicals Using SF6/O2 Plasma

    S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, T. Ohiwa

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Investigation of Si Etch Reaction with F and O Radicals Using SF6/O2 Plasma

  • Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

    A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, L. Lukasiak

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

  • Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

    A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, L. Lukasiak

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis

  • Investigation of mechanism at sidewall of through Si via(TSV)etching induced by SF6/O2plasma

    S.Amasaki, T.Takeuchi, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori, N.Sakurai, H.Hayashi, I.Sakai, T.Ohiwa

    DPS2011  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoGardenPlaceHotel   国名:その他  

    Investigation of mechanism at sidewall of through Si via(TSV)etching induced by SF6/O2plasma

  • Measurement of Atomic Oxygen in Ultrahigh Density 60 Hz Atmospheric Pressure Plasma by Two Photon Absorption Laser Induced Fluorescence

    F. Jia, K. Takeda, K. Ishikawa, H. Inui, S. Iseki, H. Kano, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall,   国名:その他  

    Measurement of Atomic Oxygen in Ultrahigh Density 60 Hz Atmospheric Pressure Plasma by Two Photon Absorption Laser Induced Fluorescence

  • Measurement of Optical Properties of Carbon-based Material Using Terahertz Time domain Spectroscopy

    T.Hiraoka, K.Takeda, K.Ishikawa, H.Kondo, M.Ito, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Measurement of Optical Properties of Carbon-based Material Using Terahertz Time domain Spectroscopy

  • Measurement of the Electron Temperature and Density of 60 Hz Nonequikibrium Atmospheric Pressure Plasma Jet by Laser Thomson Scattering

    F.Jia, N.Sumi, K.Ishikawa, H.Kano, H.Inui, K.Takeda, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Measurement of the Electron Temperature and Density of 60 Hz Nonequikibrium Atmospheric Pressure Plasma Jet by Laser Thomson Scattering

  • Measuring Metal Elements in Wastewater Using Ar Atmospheric Pressure Plasma 国際会議

    Jagath Kularatne, 加納浩之, 太田貴之, 伊藤昌文, 竹田圭吾, 近藤博基, 堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:神奈川工科大学   国名:その他  

  • Mechanism of highly Selective SiO2 Etching over Si using New Alternative Gas,C5HF7

    Y.Miyawaki, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori, A.Yamazaki, A.Ito, H.Matsumoto

    64thAnnualGaseouselectronicsConference  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:SaltLakeCity   国名:その他  

    Mechanism of highly Selective SiO2 Etching over Si using New Alternative Gas,C5HF7

  • Modeling of C3F6O/Ar Plasma Chemical for SiO2 Etching Processes

    Y.Kondo, Y.Miyawaki, K.Takeda, K.Ishikawa, H.Kondo, T.Hayashi, M.Sekine, M.Hori

    DPS2011  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoGardenPlaceHotel   国名:その他  

    Modeling of C3F6O/Ar Plasma Chemical for SiO2 Etching Processes

  • Modeling of C3F6O/Ar Plasma Chemistry for SiO2 Etching Processes

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, KenjiIshikawa, ToshioHayashi

    AEPSE2011  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:FuramaHotel   国名:その他  

    Modeling of C3F6O/Ar Plasma Chemistry for SiO2 Etching Processes

  • Nitogen Radical Annealing recovery of Etch-Induced-Damage on GaN

    K.Ishikawa, S.Chen, R.Kometani, H.Kondo, K.Takeda, H.Kano, Y.Tokuda, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Nitogen Radical Annealing recovery of Etch-Induced-Damage on GaN

  • Novel Gratings for Subaru Telescope, TMT and E-ELT

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori

    すばる望遠鏡将来装置計画ワークショップ  2011年1月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Novel Gratings for Subaru Telescope, TMT and E-ELT

  • Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

    Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

  • Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

    Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD

  • O Radical Measurement in Penicillum Digitatum Inactivation Using Non-equilibrium Atmospheric O2/Ar Plasma

    S.Iseki, T.Ohta, M.Ito, H.Kano, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    O Radical Measurement in Penicillum Digitatum Inactivation Using Non-equilibrium Atmospheric O2/Ar Plasma

  • Passivation of Plasma Damaged GaN with Hydrogen Radical Anneal

    Sh. Chen, Y. Lu, R. Kometani, K. Takeda, K. Ishikawa, H. Kondo, H. Kano, Y. Tokuda, M. Sekine, Y. Setsuhara, T. Egawa, H. Amano, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Passivation of Plasma Damaged GaN with Hydrogen Radical Anneal

  • Plasma Nano-Technologies for Fabrications of VPH Grating,Quasi-Bragg Grating and Novel Immersion Grating

    N.Ebizuka, H.Kondo, K.Ishikawa, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Plasma Nano-Technologies for Fabrications of VPH Grating,Quasi-Bragg Grating and Novel Immersion Grating

  • Plasma-Induced Damage of GaN and Its Recovery by Atomic Hydrogens at a Room Temperature

    Y.Lu, S.Chen, R.Kometani, K.Takeda, H.Kondo, T.Egawa, K.Ishikawa, H.Amano, M.Sekine, M.Hori

    2011SSDM  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:WINC AICHI   国名:その他  

    Plasma-Induced Damage of GaN and Its Recovery by Atomic Hydrogens at a Room Temperature

  • PolymerSurfaceModification:Real-time In Situ Electron Spin Resonance Study for Plasma Processes

    K.Ishikawa, N.Sumi, A.Kono, H.Horibe, K.Takeda, H.Kondo, M.Sekine, M.Hori

    AVS58thINternationalSymposium&Exhibition  2011年10月 

     詳細を見る

    記述言語:英語  

    開催地:Nashville Convention Center   国名:その他  

    PolymerSurfaceModification:Real-time In Situ Electron Spin Resonance Study for Plasma Processes

  • Radical Flux Modeling and Analysis for Sticking Coefficient Evaluation

    Mailnowski, M.Hori, M.Sekine, K.Ishikawa, H.Kondo, H.yamamoto, T.Takeuchi, T.Suzuki, A.jakubowski, L.Lukasiak

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Radical Flux Modeling and Analysis for Sticking Coefficient Evaluation

  • Radical density measurements in microwave plasma with carbon-containing gases used for carbon nanotube and nanocrystalline diamond film growth

    M. Hiramatsu, H. Kondo, M. Hori

    20th International Symposium on Plasma Chemistry  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Loews Hotel   国名:その他  

    Radical density measurements in microwave plasma with carbon-containing gases used for carbon nanotube and nanocrystalline diamond film growth

  • Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:DaejeonConventionCenter   国名:その他  

    Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction

  • Real-time/In-situ Electron-Spin-Resonance Analysis of Surface Reactions on Organic Materials in Plasma Processes

    N. Sumi, K. Ishikawa, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine, M.Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Real-time/In-situ Electron-Spin-Resonance Analysis of Surface Reactions on Organic Materials in Plasma Processes

  • Real-time/In-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation

    N.Sumi, K.Ishikawa, A.Kono, H.HOribe, K.Takeda, H.Kondo, M.sekine, M.Hori

    DPS2011  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoGardenPlaceHotel   国名:その他  

    Real-time/In-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation

  • Recovery of plasma-damaged GaN by atomic nitrogen hiydrogen

    Shang Chen,Yi Lu, Ryousuke Kome, Konji,Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:DaejeonConventionCenter   国名:その他  

    Recovery of plasma-damaged GaN by atomic nitrogen hiydrogen

  • Relationship between aradicals in Gas Phase and film Property of Silicon Thin Films in SiH4/H2PlasmaCVD

    A.Fukushima, Y.Abe, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    ICTF-15  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoTerrase   国名:その他  

    Relationship between aradicals in Gas Phase and film Property of Silicon Thin Films in SiH4/H2PlasmaCVD

  • Room Temperature Radical Annealing of Plasma Damaged

    S.Chen,Y.Lu, K.Takeda, K.Ishikawa, H.Kondo, H.Kano, H.Amano, Y.Tokuda, T.Egawa, M.sekine, M.Hori

    AVS58thINternationalSymposium&Exhibition  2011年10月 

     詳細を見る

    記述言語:英語  

    開催地:Nashville Convention Center   国名:その他  

    Room Temperature Radical Annealing of Plasma Damaged

  • SF6/O2プラズマを用いたSiエッチング機構 国際会議

    尼崎新平, 竹内拓也, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • SF6/O2プラズマを用いたSiエッチング機構III 国際会議

    尼崎新平, 竹内拓也, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝, 櫻井典子, 林 久貴, 酒井伊都子, 大岩徳久

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • SF6/O2プラズマを用いたSiエッチング機構II 国際会議

    尼崎新平, 竹内拓也, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝, 櫻井典子, 林久貴, 酒井伊都子, 大岩徳久

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • STS Observation of Local Density of States on Growth Surface at an Initial Stage of Carbon Nanowall Synthesis

    K.Yasuda, H.Kondo, M.Hiramatsu, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials,  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    STS Observation of Local Density of States on Growth Surface at an Initial Stage of Carbon Nanowall Synthesis

  • SiH4/H2プ ラズマ化学気相堆積法における気相ラジカルとシリコン薄膜の膜質との関係性 国際会議

    福島敦史, 阿部佑介, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    第3回薄膜太陽電池セミナー  2011年10月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • SiH4/H2プラズマにおける水素多事狩る表面損失確率 国際会議

    阿部祐介, 竹田圭吾, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • SiH4/H2プラズマ化学気相堆積法における気相ラジカルとシリコン薄膜結晶性の関係性 国際会議

    福島敦史, 阿部祐介, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • SiNx:H膜エッチングに及ぼす紫外線の影響 国際会議

    深沢正永, 宮脇雄大, 近藤祐介, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 南 正樹, 上澤史且, 堀 勝, 辰巳哲也

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • SiO2 Plasma Etching Process Using Alternative Gas,C3F6O

    Y.Kondo, Y.MIyawaki, K.Takeda, K.Ishikawa, H.Kondo, T.hayashi, H.Okamoto, M.Sekine,M.hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    SiO2 Plasma Etching Process Using Alternative Gas,C3F6O

  • Spatial Distribution of Electron Density on DC-Superposed Dual-frequency Capacitively Coupled Plasma(DS-CCP)

    T.Komuro, K.Takeda, K.Ishikawa, M.Sekine, Y.Ohya, H.Kondo, M.Hori

    DPS2011,  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoGardenPlace   国名:その他  

    Spatial Distribution of Electron Density on DC-Superposed Dual-frequency Capacitively Coupled Plasma(DS-CCP)

  • Sticking coefficient of hydrogen radicals on ArF photoresist estimated by parallel plate structure in conjunction with numerical analysis

    Malinowski A

    International Conference on Simulation of Semiconductor Processes and Devices, SISPAD  2011年11月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Sticking coefficient of hydrogen radicals on ArF photoresist estimated by parallel plate structure in conjunction with numerical analysis

  • Sticking coefficient of hydrogen radicals on ArF phtotoresist estimated by parallel plate structure in conjunction with numerical analysis

    A.Malinowski, M.Sekine, M.Hori, K.Ishikawa, H.Kondo, T.Suzuki, T.Takeuchi, H.Yamamoto, A.Jakubowski, L.Lukasiak, Tomaszewski

    SISPAD2011  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Hotel HankyuExpoPark   国名:その他  

    Sticking coefficient of hydrogen radicals on ArF phtotoresist estimated by parallel plate structure in conjunction with numerical analysis

  • Study of Etch Reaction with F and O radicals using SF6/O2 Plasma

    S.Amasaki, T.Takeuchi, K.takeda, K.ishikawa, H.Kondo, M.sekine, M.Hori, N.Sakurai, H.Hayashi, I.Sakai, T.Ohiwa

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Study of Etch Reaction with F and O radicals using SF6/O2 Plasma

  • Study on modification process of photoresist by fluorocarbon and radicals

    T.Takeuchi, S.Amasaki, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori

    64thAnnualGaseouselectronicsConference  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:SaltLakeCity   国名:その他  

    Study on modification process of photoresist by fluorocarbon and radicals

  • Study on photoresist surface modification induced by fluorocarbon etch species

    T.Takeuchi, S.Amasaki, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    DPS2011  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:KyotoGardenPlaceHotel   国名:その他  

    Study on photoresist surface modification induced by fluorocarbon etch species

  • Sub-nm Scale Control of Etched-Profile-Fluctuations in Organic Low-k Film Etch

    T.Suzuki, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Sub-nm Scale Control of Etched-Profile-Fluctuations in Organic Low-k Film Etch

  • Subnanometer-scale control of feature size and shape in organic low-k film etch

    Toshiya. Suzuki, Shinji Mitsuguti, Arkadiusz Malinowski, Keigo Takeda, HirokiKondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    2011 ICMAP  2011年7月 

     詳細を見る

    記述言語:英語  

    開催地:Furama Hotel   国名:その他  

    Subnanometer-scale control of feature size and shape in organic low-k film etch

  • Surface Analysis of GaN Irradiated by Cl2 Plasma Beam

    Y. Lu, S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, T. Egawa, H. Amano, Y. Setsuhara, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall,   国名:その他  

    Surface Analysis of GaN Irradiated by Cl2 Plasma Beam

  • Surface Loss Probability of Hydrogen Radical in SiH4/H2Plasma

    Y.Abe, K.Takeda, K.Ishikawa, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Surface Loss Probability of Hydrogen Radical in SiH4/H2Plasma

  • Synthesis of Amorphous Carbon Films Employing radical-injection Plasma-Enhanced Chemical Vapor Deposition system for Solar Cell

    T.Kino, H.Kondo, K.ishikawa, M.sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Synthesis of Amorphous Carbon Films Employing radical-injection Plasma-Enhanced Chemical Vapor Deposition system for Solar Cell

  • Synthesis of Nano-graphene by Plasma in Liquid Ethanol

    T. Hagino, H. Kano, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Takayama Public Cultural Hall   国名:その他  

    Synthesis of Nano-graphene by Plasma in Liquid Ethanol

  • Synthesis of Platinum Nanoparticles on Carbon Nanowall Surface by Supercritical Fluid Chemical Deposition

    S.Mitsuguchi, H.Kano, M.Hiramatsu, H.Kondo, M.Sekine, M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Synthesis of Platinum Nanoparticles on Carbon Nanowall Surface by Supercritical Fluid Chemical Deposition

  • Three Dimensional Distribution of Atomic Radical in Nonequilibrium Atmospheric Pressure Plasma

    M.kato, K.Takede, K.Ishikawa, H.Kondo, M.Skine,M.Hor

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya Institute of Technology   国名:その他  

    Three Dimensional Distribution of Atomic Radical in Nonequilibrium Atmospheric Pressure Plasma

  • Time-dependent Radical Flux Analysis in ArF Photoresist Plasma Etching using Parallel Plate Structure 国際会議

    Arkadiusz Malinowski, 堀 勝, 関根 誠, 石川健治, 近藤博基, 山本 洋, 竹内拓也, 鈴木俊哉, Lidia Lukasiak, Andrzej Jakubowski

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:英語  

    開催地:山形大学   国名:その他  

    Time-dependent Radical Flux Analysis in ArF Photoresist Plasma Etching using Parallel Plate Structure

  • Ultrafast Synthesis of Nanographen Employing an Ultrahigh-density In-liquid Al-cohol Plasma

    T.Hagino, H.Kondo, H.Kano, K.Ishikawa, M.Sekine, M.Hori

    2011SSDM  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:WINC AICHI   国名:その他  

    Ultrafast Synthesis of Nanographen Employing an Ultrahigh-density In-liquid Al-cohol Plasma

  • すばる望遠鏡および次世代巨大望遠鏡用の新しい回折格子 国際会議

    海老塚昇, 石川健治, 近藤博基, 堀勝、AndreaBianco,FilippoMariaZerbi

    2011年度光学シンポジウム  2011年7月 

     詳細を見る

    記述言語:日本語  

    開催地:東京大学   国名:その他  

  • アモルファスカーボン膜の工学的特性に対する成長温度の効果 国際会議

    九鬼淳, 近藤博基, 関根誠, 堀勝

    第3回薄膜太陽電池セミナー  2011年10月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • アルコール気液プラズマ生成するナノカーボンの電子スピン共鳴法によるその場観察 国際会議

    石川健治, 萩野達也, 鷲見直也, 加納浩之, 竹田圭吾, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • アルコール気液プラズマを用いたナノグラフェンの合成 国際会議

    萩野達也, 乾裕俊, 加納浩之, 石川健治, 竹田圭吾, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • アルコール液中プラズマを用いたナノグラフェンの制御合成 国際会議

    荻野達也, 加納浩司, 石川健治, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • アルコール液中プラズマを用いたナノグラフェンの合成メカニズム 国際会議

    萩野達也, 加納浩之, 石川健治, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • アルモファスカーボン膜の結晶構造及び血管密度に対するRFバイアス印加効果 国際会議

    九鬼淳, 于楽泳, 近藤博基, 石川健治, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • カビ胞子とプラズマの相互作用の電子スピン共鳴法による観察 国際会議

    石川健治, 井関紗千子, 太田貴之, 伊藤昌文, 加納浩之, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • カーボンナノウォールの初期成長表面における化学構造の変化に関する研究 国際会議

    安田幸司, 近藤博基, 平松美根男, 関根誠, 堀勝

    PlasmaConference2011Conference&Exhibition  2011年11月 

     詳細を見る

    記述言語:日本語  

    開催地:石川県立音楽堂   国名:その他  

  • カーボンナノウォールの結晶構造に対する酸素ラジカル照射効果 国際会議

    下枝弘尚, 近藤弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • カーボンナノウォール初期成長過程における結晶構造変化 国際会議

    安田幸司, 近藤博基, 平松美根男, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • カーボンナノウォールの配向成長 国際会議

    神田貴幸, 近藤博基, 山川晃司, 竹田圭吾, 石川健冶, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • カーボンナノウォールの初期成長過程における表面元素組成および化学結合状態の変化 国際会議

    安田幸司, 近藤博基, 平松美根男, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • カーボンナノウォールの初期成長過程におけるナノグラフェン核発生の観察 国際会議

    安田幸司, 近藤博基, 平松美根男, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • カーボンナノウォールの超親水化と機能性バイオテンプレートへの応用 国際会議

    井関紗千子, 堀勝, 渡辺均, 太田貴之, 近藤博基, 石川健治, 竹田圭吾, 関根誠, 伊藤昌文

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • テラヘルツ時間領域分光法を用いた非平衡大気圧プラズマによるミドリカビ殺菌の評価 国際会議

    平岡丈弘, 海老塚昇, 竹田圭吾, 大田貴之, 近藤博基, 石川健治, 川瀬晃道, 伊藤昌文, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • バイオ応用に向けた60Hz大気圧プラズマ源の生成酸素原子の空間分布 国際会議

    賈鳳東, 竹田圭吾, 石川健治, 乾裕俊, 井関紗千子, 加納浩之, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • フルオロカーボン系エッチング種によるArFフォトレジスト表面改質過程の解明 国際会議

    竹内拓也, 尼崎新平, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学   国名:その他  

  • フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明 (2) 国際会議

    竹内拓也, 尼崎新平, 竹田圭吾, 石川健治, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明 国際会議

    竹内拓也, 尼崎新平, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2) 国際会議

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析 国際会議

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • プラズマプロセス中材料の表面反応の機構解析 国際会議

    石川健治, 竹内拓也, 尼崎新平, 浅野高平, 山本洋, 鈴木俊哉, 竹田圭吾, 近藤博基, 関根誠,堀勝

    応用物理学会シリコンテクノロジー研究会第133 回 研究集会  2011年2月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の欠陥密度に対するRFバイアス印加の効果 国際会議

    九鬼 淳, 于 楽泳, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造に対するRFバイアス印加の効果 国際会議

    近藤博基, 木野徳重, 石川健治, 関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • プラズマ励起気相堆積法で合成したアモルファスカーボン結晶構造解析 国際会議

    于 楽泳, 九鬼 淳, 竹田圭吾, 近藤博基, 石川健治, 関根 誠, 堀 勝

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • プラズマ化学気相堆積法によって成長したカーボンナノウォールの微細構造解析 国際会議

    近藤博基, 下枝弘尚, 石川健治, 関根 誠, 堀 勝, 平松美根男

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    記述言語:日本語  

    開催地:山形大学   国名:その他  

  • Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing

    T. Ohta, T. Takayoshi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, M. Ito

    Dry Process Symposium 2013 (DPS2013)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea   国名:その他  

    Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing

  • Real-time control of a wafer temperature for uniform plasma process

    Tsutsumi T

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  2019年2月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Real-time control of a wafer temperature for uniform plasma process

  • Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas

    Ueyama T

    Japanese Journal of Applied Physics  2017年6月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas

  • Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °c

    Takai S

    Japanese Journal of Applied Physics  2017年6月 

     詳細を見る

    記述言語:英語  

    国名:その他  

  • Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

    Tomatsu M

    Japanese Journal of Applied Physics  2017年6月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

  • Electrocatalytic Characteristic of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Applications

    Hiroki Kondo

    2015 MRS Spring Meeting & Exhibit  2015年4月 

     詳細を見る

    記述言語:英語  

    国名:その他  

  • Electrocatalytic Property and Durability of Pt Nanoparticles-Supported Nanographene Synthesized by In-Liquid Plasma

    Hiroki Kondo

    2015 MRS Spring Meeting and Exhibit  2015年4月 

     詳細を見る

    記述言語:英語  

    国名:その他  

  • High-density nitrogen plasma source for growing high In content InGaN by plasma-assisted MBE

    Hiroki Kondo, Masaru Hori, Hirosi Amano, Nagoya Univ

    CONFERENCE 9363  2015年2月 

     詳細を見る

    記述言語:英語  

    開催地:The Moscone Center Room130(EXHIBIT LEVEL)   国名:その他  

    High-density nitrogen plasma source for growing high In content InGaN by plasma-assisted MBE

  • Selective and oriented growth of carbon nanowalls by plasma-enhanced chemical vapor deposition

    H.Kondo

    The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials(19th-23rd 2015,Slovenia)  2015年1月 

     詳細を見る

    記述言語:英語  

    開催地:Slovenia   国名:その他  

    Selective and oriented growth of carbon nanowalls by plasma-enhanced chemical vapor deposition

  • カーボンナノウォールの構造制御とナノバイオ応用 国際会議

    近藤博基, 堀勝, 平松美根男, 名古屋大学大学院工学研究科付属プラズマナノ工学研究センター, 名城大学理工

    仙台“プラズマフォーラム’’  2015年2月 

     詳細を見る

    記述言語:日本語  

    開催地:東北大学 大学院工学研究科 電子情報システム・応物系 1号館別館 451・453会議室   国名:その他  

  • ラジカル励起MOCVD法によるGaNホモエピタキシャル成長に関する研究 国際会議

    岩本一希, 盧翌, 小田修, 近藤博基, 石川健治, 関根誠, 勝

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回)  2015年1月 

     詳細を見る

    記述言語:日本語  

    開催地:東レ研修センター   国名:その他  

  • 半導体ディバイス・プロセスの信頼性工学 国際会議

    近藤博基

    第10回日本安全学教育研究会  2015年8月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • 地域イノベーションプラットフォーム概略紹介 国際会議

    近藤博基

    大気圧プラズマによる超高速・超機能化異種材料接合オープンプラットフォーム  2015年1月 

     詳細を見る

    記述言語:日本語  

    国名:その他  

  • 次世代窒化ガリウム系デバイスのためのラジカル励起MOCVDによるGaN-ヘテロエピタキシャル成長に関する研究 国際会議

    盧翌, 岩本一希, 小田修, 石川健治, 近藤博基, 関根誠, 勝

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回)  2015年1月 

     詳細を見る

    記述言語:日本語  

    開催地:東レ研修センター   国名:その他  

  • A high temperature etching of GaN employing CH4/H2 gases, 06aP02

    T. Kako, Z. Liu, K. Ishikawa, K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    A high temperature etching of GaN employing CH4/H2 gases, 06aP02

  • AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響 国際会議

    梁 思潔, 竹田 圭吾, 近藤 博基, 加納 浩之, 石川 健治, 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • AC励起アルコール液中プラズマにおけるナノグラフェン合成機構の解析 国際会議

    安藤 睦, 小島 和晃, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠, 太田 貴之, 伊藤 昌文, 平松 美根男, 加納 浩之, 堀 勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • Advanced plasma-nano processes for controls of crystallographic and electrical properties of carbon nanomaterials

    Hiroki Kondo

    The International Symposium on Plasma-Nano Materials and Processes  2014年4月 

     詳細を見る

    記述言語:英語  

    開催地:The Riverside Hotel, Seoul, South Korea   国名:その他  

    Advanced plasma-nano processes for controls of crystallographic and electrical properties of carbon nanomaterials

  • Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals

    HIROKI KONDO, Hironao Shimoeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    14th International Conference on Plasma Surface Engineering  2014年9月 

     詳細を見る

    記述言語:英語  

    開催地:Garmisch-Partenkirchen, Germany   国名:その他  

    Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals

  • Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition

    K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka, Japan   国名:その他  

    Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition

  • Biosensing properties of carbon nanowalls grown using plasma enhanced CVD, 03pP51LN

    M. Tomatsu, R. Tsukada, M. Nagashima, M. Hiramastu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Biosensing properties of carbon nanowalls grown using plasma enhanced CVD, 03pP51LN

  • CH4/H2プラズマによるGaNの高温エッチング 国際会議

    加古隆, 劉沢セイ, 石川健治, 小田修, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(IV) 国際会議

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 田嶋聡美, 石川健治, 林俊雄, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • Carbon nanowalls: plasma synthesis and applications, 3C-WS-07

    M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Carbon nanowalls: plasma synthesis and applications, 3C-WS-07

  • Charactaristics of AC excited Non-equilibrium Atomospheric Pressure Helium Plasma Jet for Medical Application

    K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, H. Kano, Y. Nakai, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine  2014年5月 

     詳細を見る

    記述言語:英語  

    開催地:Nara Prefectural Public Hall, Nara, Japan   国名:その他  

    Charactaristics of AC excited Non-equilibrium Atomospheric Pressure Helium Plasma Jet for Medical Application

  • Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas, 5A-PM-01

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas, 5A-PM-01

  • Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Gunsan, Korea   国名:その他  

    Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

  • Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation, 03pD08O

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation, 03pD08O

  • Controlled Synthesis of Carbon Nanomaterials Employing Plasma-Enhanced Chemical Vapor Deposition for Future Green Energy Applications

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    IUMRS-ICA 2014  2014年8月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka University, Fukuoka   国名:その他  

    Controlled Synthesis of Carbon Nanomaterials Employing Plasma-Enhanced Chemical Vapor Deposition for Future Green Energy Applications

  • Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma

    Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    67th Annual Gaseous Electronics Conference  2014年11月 

     詳細を見る

    記述言語:英語  

    開催地:USA, North Carolina   国名:その他  

    Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma

  • Dawning of Plasma Life Sciences ~ Diagnostics and Control of Reactive Species in Plasma Bio Processing ~

    Masaru Hori, Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito, Hiroyuki Kano

    The 4th International Symposium for Plasma Biosciences (ISPB 2014)  2014年8月 

     詳細を見る

    記述言語:英語  

    開催地:Deplino Resort, Sokcho, Gangwon-do, Korea   国名:その他  

    Dawning of Plasma Life Sciences ~ Diagnostics and Control of Reactive Species in Plasma Bio Processing ~

  • Diagnostic studies for synthesis of Al doped ZnO film by magnetron sputtering

    Jun Suck Lee, JayBum Kim, Su B. Jin, B.B. Sahu, Jeon G. Han, H. Kondo, M. Hori

    14th International Conference on Plasma Surface Engineering  2014年9月 

     詳細を見る

    記述言語:英語  

    開催地:Garmisch-Partenkirchen, Germany   国名:その他  

    Diagnostic studies for synthesis of Al doped ZnO film by magnetron sputtering

  • Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Applications

    Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Gunsan, Korea   国名:その他  

    Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Applications

  • Diagnostics of SiH4/H2 Plasma and Surface Reaction in Microcrystalline Silicon Deposition

    Kenji Ishikawa, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The International Conference on Metallurgical Coatings and Thin Films (ICMCTF)  2014年4月 

     詳細を見る

    記述言語:英語  

    開催地:San Diego, CA USA   国名:その他  

    Diagnostics of SiH4/H2 Plasma and Surface Reaction in Microcrystalline Silicon Deposition

  • Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet (Poster), 03pP15

    S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet (Poster), 03pP15

  • Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma, 06aP12

    J. Jolibois, J. Kularatne, H. Kondo, M. Ito, H. Kano, K. Ishikawa, M. Hiramatsu, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma, 06aP12

  • Effect of Gas Flow Rate on Crystalline Structures of Amorphous Carbon Films Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition, 05pP34

    D. Xu, L. Jia, M. Nakamura, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Effect of Gas Flow Rate on Crystalline Structures of Amorphous Carbon Films Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition, 05pP34

  • Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process, 4B-PM-03

    M. Sekine, Y. ZhangTakeuchi, H. Nagano, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process, 4B-PM-03

  • Effect of Power supply on metal emission intensity induced by non-equilibium atmospheric pressure plasma, 6P-PM-S09-P12

    J. Kularatne, J. Jolibois, Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Effect of Power supply on metal emission intensity induced by non-equilibium atmospheric pressure plasma, 6P-PM-S09-P12

  • Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma, 4P-PM-SPD-P05

    H. Kondo, L. Jia, D. Xu, M. Nakamura, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma, 4P-PM-SPD-P05

  • Effects of Low RF Bias Power on Optoelectrical Properties of Amorphous Carbon Films Grown byPlasma-Enhanced Chemical Vapor Deposition, 05pP71

    M. Nakamura, L. Jia, D. Xu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ., Aichi, Japan   国名:その他  

    Effects of Low RF Bias Power on Optoelectrical Properties of Amorphous Carbon Films Grown byPlasma-Enhanced Chemical Vapor Deposition, 05pP71

  • Effects of residence time on electronic and electricalthe optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition, 05pP72

    L. Jia, D. Xu, M. Nakamura, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Effects of residence time on electronic and electricalthe optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition, 05pP72

  • Electro catalytic properties of Pt-supported nanographene synthesis using in-liquid plasma, 05aB06O

    T. Amano, K. Takeda, H. Kondo, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Electro catalytic properties of Pt-supported nanographene synthesis using in-liquid plasma, 05aB06O

  • Electron Spin Resonance Study of Plasma-Activated-Medium

    K. Ishikawa, N. Kurake, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, M. Hori

    The 5th International Conference on Plasma Medicine (ICPM5)  2014年5月 

     詳細を見る

    記述言語:英語  

    開催地:Nara Prefectural Public Hall, Nara, Japan   国名:その他  

    Electron Spin Resonance Study of Plasma-Activated-Medium

  • Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine  2014年5月 

     詳細を見る

    記述言語:英語  

    開催地:Nara Prefectural Public Hall, Nara, Japan   国名:その他  

    Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas

  • Electron spin Resonance Study of Plasma-Activated-Media (PAM)

    Masaru Hori, Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine

    2014 MRS Fall Meeting & Exhibit  2014年11月 

     詳細を見る

    記述言語:英語  

    開催地:Boston, Massachusetts   国名:その他  

    Electron spin Resonance Study of Plasma-Activated-Media (PAM)

  • Electron spin resonance study of radical generation during non-thermal plasma blood coagulation

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014)  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto International Conference Center (ICCKyoto), Kyoto, Japan   国名:その他  

    Electron spin resonance study of radical generation during non-thermal plasma blood coagulation

  • Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma, 3B-WS-07

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation"  2014年2月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma, 3B-WS-07

  • Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas, 3B-WS-07

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas, 3B-WS-07

  • Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma, 04pP07

    J. Kularatne, T. Ohta, J. Jolibois, M. Ito, H. Takemura, H. Kano, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma, 04pP07

  • Fabrication of graphene films on Ni layer by microwave plasma-enhanced CVD, 03pP38

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Fabrication of graphene films on Ni layer by microwave plasma-enhanced CVD, 03pP38

  • Fabrication of graphene films using microwave plasma-enhanced CVD in surface wave mode, 6P-AM-S08-P28

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Fabrication of graphene films using microwave plasma-enhanced CVD in surface wave mode, 6P-AM-S08-P28

  • GaN etching at high temperature employing N2 added Cl2 Plasma, 06aP04

    Z. Liu, T. Kako, K. Ishikawa, O. Oda, K. Takeda, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    GaN etching at high temperature employing N2 added Cl2 Plasma, 06aP04

  • Growth Mechanism of Single-Walled Carbon Nanotubes from Pt Catalysts by Alcohol Catalytic CVD

    Takahiro Maruyama, Hiroki Kondo, Akinari Kozawa, Takahiro Saida, Shigeya Naritsuka, Sumio Iijima

    2014 MRS Fall Meeting & Exhibit  2014年11月 

     詳細を見る

    記述言語:英語  

    開催地:Boston, Massachusetts   国名:その他  

    Growth Mechanism of Single-Walled Carbon Nanotubes from Pt Catalysts by Alcohol Catalytic CVD

  • Growth of graphene-based films using aftergrow of inductively coupled plasma

    Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    67th Annual Gaseous Electronics Conference  2014年11月 

     詳細を見る

    記述言語:英語  

    開催地:Raleigh, North Carolina, USA   国名:その他  

    Growth of graphene-based films using aftergrow of inductively coupled plasma

  • High Density Radical Source

    Y. Kiheda, H. Kano, H. Kondo, M. Hori, H. Amano, M. Hiramatsu

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Gunsan, Korea   国名:その他  

    High Density Radical Source

  • In situ analysis of the surface reactions in PE-ALD SiO2 films for advanced litho applications

    Masaru Hori, Hiroki Kondo

    14th International Conference on Atomic Layer Deposition (ALD 2014)  2014年6月 

     詳細を見る

    記述言語:英語  

    開催地:Hotel Granvia Kyoto   国名:その他  

    In situ analysis of the surface reactions in PE-ALD SiO2 films for advanced litho applications

  • Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

    Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Plasma Processing Science, Gordon Research Conference  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Bryant University   国名:その他  

    Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

  • Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process, 6P-PM-S09-P11

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, M. Hiramatsu, H. Kondo, K. Ishikawa, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process, 6P-PM-S09-P11

  • Live demonstration: A CMOS sensor platform with 1.2 μm × 2.05 μm electroless-plated 1024 × 1024 microelectrode array for high-sensitivity rapid direct bacteria counting

    Ota S

    IEEE 2014 Biomedical Circuits and Systems Conference, BioCAS 2014 - Proceedings  2014年12月 

     詳細を見る

    記述言語:英語  

    国名:その他  

  • Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low CoherenceInterferometer, 03pP29

    T. Tsutsu, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low CoherenceInterferometer, 03pP29

  • Mechanism of Generating Active Species and Etch Reaction in CHxFy Plasma, 06aP03

    Y. Kondo, Y. Miyawaki, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Mechanism of Generating Active Species and Etch Reaction in CHxFy Plasma, 06aP03

  • Mechanism of Surface Roughness of ArF Photoresist During HBr PlasmaEtching Processes (2) 国際会議

    張 彦, 石川 健治, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

    Mechanism of Surface Roughness of ArF Photoresist During HBr PlasmaEtching Processes (2)

  • Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

    Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Gunsan, Korea   国名:その他  

    Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

  • Nano-Bio applications of carbon-nano materials synthesized and modified by plasma

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Gunsan, Korea   国名:その他  

    Nano-Bio applications of carbon-nano materials synthesized and modified by plasma

  • Nucleation control of carbon nanowalls using plasma-enhanced CVD with re-duced ion bombardment, 03pP42

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Nucleation control of carbon nanowalls using plasma-enhanced CVD with re-duced ion bombardment, 03pP42

  • Nucleation control of carbon nanowalls for device application, 5P-PM-S08-P09

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Nucleation control of carbon nanowalls for device application, 5P-PM-S08-P09

  • Observation of Dangling Bonds in Silicon Nitride Films during Exposure of F Atoms At Down-Flow of CF4 Plsmas Using Real-time / in-situ Electron Spin Resonance, 06aB02O

    Y. Miyawaki, K. Ishikawa, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Observation of Dangling Bonds in Silicon Nitride Films during Exposure of F Atoms At Down-Flow of CF4 Plsmas Using Real-time / in-situ Electron Spin Resonance, 06aB02O

  • Plasma Induced Surface Roughness of Polymeric Materials

    K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Japan   国名:その他  

    Plasma Induced Surface Roughness of Polymeric Materials

  • Plasma nano-interface with organic materials for surface-roughness formation

    M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    The 9th EU-Japan Joint Symposium on Plasma Processing  2014年1月 

     詳細を見る

    記述言語:英語  

    開催地:Bohinjska Bistrica, Slovenia   国名:その他  

    Plasma nano-interface with organic materials for surface-roughness formation

  • Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Greifswald, Germany   国名:その他  

    Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

  • Plasma-Enhanced Synthesis and Edge-Modification of Carbon Nanowalls for Nano-Bio Applications

    Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    2014 MRS Fall Meeting & Exhibit  2014年11月 

     詳細を見る

    記述言語:英語  

    開催地:Boston, Massachusetts   国名:その他  

    Plasma-Enhanced Synthesis and Edge-Modification of Carbon Nanowalls for Nano-Bio Applications

  • Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas, 5B-PM-02

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing,Fukuoka Convention Center  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka, Japan   国名:その他  

    Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas, 5B-PM-02

  • Recovery of Plasma-induced Damage in GaN by In situ Radical Exposure , 6P-AM-S06-P18

    M. Sekine, Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka Convention Center, Fukuoka, Japan   国名:その他  

    Recovery of Plasma-induced Damage in GaN by In situ Radical Exposure , 6P-AM-S06-P18

  • Silicon thin film solar cells fabricated by H radical injection plasma, 05pP49

    K. Miwa, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Silicon thin film solar cells fabricated by H radical injection plasma, 05pP49

  • Spectroscopic analysis of gas-liquid plasma for nanographene synthesis, 06aD03O

    A. Ando, T. Takeda, H. Kondo, K. Ishikawa, M. Sekine, T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Spectroscopic analysis of gas-liquid plasma for nanographene synthesis, 06aD03O

  • Study on Reaction Mechanism of Plasma-Enhanced Atomic Layer Deposition of SiO2 Films by In-Situ Fourier Transform Infrared Spectroscopy

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    14th International Conference on Atomic Layer Deposition (ALD 2014)  2014年6月 

     詳細を見る

    記述言語:英語  

    開催地:Hotel Granvia Kyoto   国名:その他  

    Study on Reaction Mechanism of Plasma-Enhanced Atomic Layer Deposition of SiO2 Films by In-Situ Fourier Transform Infrared Spectroscopy

  • Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth, 03pC12O

    Y. Lu, K. Ishikawa, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth, 03pC12O

  • Surface modification of carbon nanowalls for their nano-bio applications

    Masaru Hori, HIROKI KONDO, Mineo Hiramatsu

    14th International Conference on Plasma Surface Engineering  2014年9月 

     詳細を見る

    記述言語:英語  

    開催地:Garmisch-Partenkirchen, Germany   国名:その他  

    Surface modification of carbon nanowalls for their nano-bio applications

  • Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma

    Hiroki Kondo, Tomoaki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Masafumi Ito, Mineo Hiramatsu

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014)  2014年7月 

     詳細を見る

    記述言語:英語  

    国名:その他  

    Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma

  • Synthesis of nanographene/Pt composite using alcohol in-liquid plasma, 04pP72LN

    K. Yoshida, M. Ito, M. Hiramatsu, T. Saida, H. Kano, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Meijo Univ. , Aichi, Japan   国名:その他  

    Synthesis of nanographene/Pt composite using alcohol in-liquid plasma, 04pP72LN

  • Temporal Changes in H and N Atom Densities in Plasmas Caused by Reactor Surface Modifications

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2014年7月 

     詳細を見る

    記述言語:英語  

    開催地:Greifswald, Germany   国名:その他  

    Temporal Changes in H and N Atom Densities in Plasmas Caused by Reactor Surface Modifications

  • カーボンナノウォールの結晶構造に対するラジカル酸化効果(Ⅲ) 国際会議

    近藤博基, 下枝弘尚, 石川健治, 平松美根男, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • プラズマCVDによって形成したカーボンナノウォールを用いた燃料電池の作製

    大慶 亮佑, 岩本 翔太, 太田 貴之, 伊藤 昌文, 平松 美根男, 近藤 博基, 堀 勝

    第75回秋季応用物理学会学術講演会  2014年9月 

     詳細を見る

    記述言語:日本語  

    開催地:北海道大学   国名:その他  

  • プラズマプロセス中のポリメタクリル酸メチル(PMMA)表面の実時間・その場電子スピン共鳴法による反応解析 国際会議

    宮脇雄大, 王浩然, 石川健治, 近藤祐介, 竹田圭吾, 近藤博基, 堀邊英夫, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • プラズマ光と表面の相互作用の解明 国際会議

    張 彦, 石川 健治, 関根 誠, 深沢 正永, 長畑 和典, 冨谷 茂隆, 辰巳 哲也, 竹田 圭吾, 近藤 博基, 堀 勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • プラズマ照射した食肉血液成分の電子スピン共鳴解析 国際会議

    坂倉崚亮, 石川健治, 田中宏昌, 橋爪博司, 太田貴之, 伊藤昌文, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • プラズマ誘起ナノプロセスの進展と放射光実験への期待 国際会議

    近藤博基, 堀勝

    平成26年度前期短期研究会「真空紫外・軟X戦放射光物性研究のパラダイムシフトに向けて」  2014年9月 

     詳細を見る

    記述言語:日本語  

    開催地:東京大学物性研究所   国名:その他  

    Recent Development Plasma Nano-processes and Expectations for Radiation Light Experiments

  • プロセス履歴のチャンバー壁表面損失確率への影響とH2/N2プラズマ中のラジカル密度の時間変化 国際会議

    鈴木俊哉, 福永裕介, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断 国際会議

    熊倉匠, 竹田圭吾, 石川健治, 田中宏昌, 近藤博基, 加納浩之, 中井義浩, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • 大気圧プラズマ化学気相堆積法による超撥水薄膜の形成 国際会議

    孫昿達, 竹田圭吾, 石川健治, 近藤博基, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析 国際会議

    倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • 液中プラズマで合成したナノグラフェンを用いた燃料電池用電極の安定性 国際会議

    天野智貴, 近藤博基, 竹田圭吾, 石川健治, 太田貴之, 伊藤昌文, 平松美根男, 加納浩之, 関根誠,堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • 非接触基板温度計測システムを用いたプラズマプロセス中の基板温度および熱流速計測 国際会議

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • 高密度窒素ラジカル源を用いたRF-MBE法による(111)Si基板上GaNナノワイヤ高速成長 国際会議

    堤裕理, 水谷駿介, 木津良祐, 近藤博基, 堀勝, 本田善央, 天野浩

    日本結晶成長学会 ナノ構造・エピタキシャル成長分科会 2014春季講演会 第6回 窒化物半導体結晶成長講演会  2014年7月 

     詳細を見る

    記述言語:日本語  

    開催地:名城大学天白キャンパス   国名:その他  

  • 高温における窒化ガリウム(GaN)のエッチング機構(4) 国際会議

    劉沢セイ,加古隆, 石川健治, 小田 修, 竹田圭吾, 近藤博基, 関根 誠, 堀勝

    第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    記述言語:日本語  

    開催地:青山学院大学相模原キャンパス   国名:その他  

  • AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測 国際会議

    梁思潔, 孫昿達, 竹田圭吾, 近藤博基, 加納浩之, 石川健治, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, H. Kondo, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching

  • Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma

    Masaru Hori, K. Takeda, H. Kondo, K. Ishikawa, M.Sekine

    The 1st International Conference on Surface Engineering (ICSE2013)  2013年11月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea   国名:その他  

    Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma

  • AlGaNのプラズマエッチング機構に関する研究 国際会議

    曹佳棟, 米谷亮佑, 盧翌, 朴鐘胤, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure 国際会議

    張彦, 竹内拓也, 永野浩貴, 石川健治, 関根誠, 竹田圭吾, 近藤博基, 堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

    An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure

  • Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance

    Y. Miyawaki, H. Wang, Y. Kondo, K. Ishikawa, K. Takeda, S. Tajima, H. Kondo, M. Sekine, H. Horibe, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea   国名:その他  

    Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance

  • Application of atmospheric pressure plasma in element analysis of agricultural products

    J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Application of atmospheric pressure plasma in element analysis of agricultural products

  • CH4 /H2 plasma etching on gallium nitride at high temperature 国際会議

    Takashi Kako, Ze-cheng Liu, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    第26回プラズマ材料科学シンポジウム  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:九州大学 百年講堂   国名:その他  

    CH4 /H2 plasma etching on gallium nitride at high temperature

  • CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(III) 国際会議

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 林俊雄, 関根誠,堀勝

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学 京田辺キャンパス   国名:その他  

  • CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(II) 国際会議

    近藤祐介, 宮脇雄大, 竹田圭吾, 近藤博基, 石川健治, 林俊雄, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • Carbon Nanowalls: Growth Control and their Clean Applications

    M. Hiramatsu, H. Kondo, M. Hori

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology  2013年12月 

     詳細を見る

    記述言語:英語  

    開催地:Hsinchu, Taiwan   国名:その他  

    Carbon Nanowalls: Growth Control and their Clean Applications

  • Carbon nanowalls: synthesis and application

    M. Hiramatsu, H. Kondo, M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013)  2013年11月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea   国名:その他  

    Carbon nanowalls: synthesis and application

  • Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma

    Y. Abe, A. Fukushima, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma

  • Cell-culturing scaffold application of carbon nanowall(CNW)

    M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba

    XXXI International Conference on Phenomena in Ionized Gases  2013年7月 

     詳細を見る

    記述言語:英語  

    開催地:Granada Congress Centre, Spain   国名:その他  

    Cell-culturing scaffold application of carbon nanowall(CNW)

  • Chemical modification and nano-bio applications of carbon nanowalls

    Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2013年5月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon & Hanhwa Resort Seorak, Sokcho,   国名:その他  

    Chemical modification and nano-bio applications of carbon nanowalls

  • Chlorine plasma etching of GaN at high temperature

    R. Kometani, L.Michael, K. Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M.Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013年2月 

     詳細を見る

    記述言語:英語  

    開催地:Gero Synergy Center "ACTIVE" Gifu , JAPAN   国名:その他  

    Chlorine plasma etching of GaN at high temperature

  • Construction of atmospheric pressure mist chemical vapor deposition technology for the all-printed electronic technology

    K. Sun, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, M. Sekine, M. Hori, H. Itoh

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Construction of atmospheric pressure mist chemical vapor deposition technology for the all-printed electronic technology

  • Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea   国名:その他  

    Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases

  • Crystallographic and chemical modification of carbon nanowalls by radical oxidation

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS (AAPC12)  2013年7月 

     詳細を見る

    記述言語:英語  

    開催地:International Conference Halls, Makuhari Messe Chiba, Japan   国名:その他  

    Crystallographic and chemical modification of carbon nanowalls by radical oxidation

  • Crystallographic and electrical properties of vertically-grown graphene sheets by CH4/H2 plasma

    H. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Crystallographic and electrical properties of vertically-grown graphene sheets by CH4/H2 plasma

  • Detection of metal elements in soil using atmospheric pressure plasma

    J. Kularatne, J. Jolibois, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS (AAPC12)  2013年7月 

     詳細を見る

    記述言語:英語  

    開催地:International Conference Halls, Makuhari Messe Chiba, Japan   国名:その他  

    Detection of metal elements in soil using atmospheric pressure plasma

  • Effect of Ar gas addition on photoconductive characteristics of amorphous carbon films synthesized by plasma-enhanced chemicalvapor deposition

    L. Yu, J. Kuki, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Effect of Ar gas addition on photoconductive characteristics of amorphous carbon films synthesized by plasma-enhanced chemicalvapor deposition

  • Effect of Hexane on the synthesis of nanographene using liquid plasma

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS (AAPC12)  2013年7月 

     詳細を見る

    記述言語:英語  

    開催地:International Conference Halls, Makuhari Messe Chiba, Japan   国名:その他  

    Effect of Hexane on the synthesis of nanographene using liquid plasma

  • Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea   国名:その他  

    Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma

  • Effect of boron doping on amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

    Lingyun Jia, Jun Kuki, Leyong Yu, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2013年5月 

     詳細を見る

    記述言語:英語  

    開催地:Sungkyunkwan University, Suwon & Hanhwa Resort Seorak, Sokcho,   国名:その他  

    Effect of boron doping on amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

  • Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

    J. Kuki, L. Yu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

  • Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique

    T. Tsutsumi, K Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M.Hori

    XXXI International Conference on Phenomena in Ionized Gases  2013年7月 

     詳細を見る

    記述言語:英語  

    開催地:Granada Congress Centre, Spain   国名:その他  

    Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique

  • Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M.Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Okazaki Conference Center, Okazaki, Japan   国名:その他  

    Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls

  • Effects of carbon nanowall scaffold and their chemical termination on cell culturing

    H. Watanabe, H. Kondo, Y. Okamoto, M. Sekine, M. Hiramatsu, Y. Baba, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Effects of carbon nanowall scaffold and their chemical termination on cell culturing

  • Effects of hydrogen contained in fluorocarbon gas molecules fordielectric film etching process

    Y. Kondo, Y. Miyawaki, K. Takeda, K.Ishikawa, H. Kondo, T. Hayashi, M. Sekine, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013年2月 

     詳細を見る

    記述言語:英語  

    開催地:Gero Synergy Center "ACTIVE" Gifu , JAPAN   国名:その他  

    Effects of hydrogen contained in fluorocarbon gas molecules fordielectric film etching process

  • Effects of morphological changes induced by hydrogen peroxide treatment on electrical properties of carbon nanowalls

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Effects of morphological changes induced by hydrogen peroxide treatment on electrical properties of carbon nanowalls

  • Electrical properties of microcrystalline silicon thin films deposited by employing hydrogen radical-injection plasma-enhanced CVD method 国際会議

    Keita Miwa, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    第26回プラズマ材料科学シンポジウム  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:九州大学 百年講堂   国名:その他  

    Electrical properties of microcrystalline silicon thin films deposited by employing hydrogen radical-injection plasma-enhanced CVD method

  • Electron spin resonance study of plasma-biological surface interaction for food hygiene

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Electron spin resonance study of plasma-biological surface interaction for food hygiene

  • Etching Characteristics of Gallium Nitride based compound semiconductors with Inductively Coupled Cl2 Plasma 国際会議

    Jia-dong Cao, Yi Lu, Jong-yun Park, Ze-cheng Liu, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    第26回プラズマ材料科学シンポジウム  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:九州大学 百年講堂   国名:その他  

    Etching Characteristics of Gallium Nitride based compound semiconductors with Inductively Coupled Cl2 Plasma

  • Etching Characterristics of AlGaN and GaN in Inductively Coupled Cl2 Prasma

    D. Cao, Y. Lu, R. Kometani, J. Park, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition  2013年10月 

     詳細を見る

    記述言語:英語  

    開催地:Long Beach, California, USA   国名:その他  

    Etching Characterristics of AlGaN and GaN in Inductively Coupled Cl2 Prasma

  • Etching characteristics of AlGaN in inductively coupled Cl2 plasmas

    J.-D. Cao, Y. Lu, R. Kometani, J. -Y. Park, K. Ishikawa, K. Takeda, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Okazaki Conference Center, Okazaki, Japan   国名:その他  

    Etching characteristics of AlGaN in inductively coupled Cl2 plasmas

  • Formation and characteristics of Pt-Au nanoparticles supported on carbon nanowalls (CNWs) employing a supercritical fluid

    T. Horibe, H. Kondo, H. Kano, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Formation and characteristics of Pt-Au nanoparticles supported on carbon nanowalls (CNWs) employing a supercritical fluid

  • Gas-phase reaction model of Ar-diluted CHxFy plasmas

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Gas-phase reaction model of Ar-diluted CHxFy plasmas

  • Gradual transition of chemical structures at initial growth stage of carbon nanowalls

    H. Kondo, K. Yasuda, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:Warsaw University of Technology, Warsaw, Poland   国名:その他  

    Gradual transition of chemical structures at initial growth stage of carbon nanowalls

  • H2/N2プラズマ中のラジカル密度へ前のプロセスが与える影響とその制御 国際会議

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • H2/N2プラズマ中のラジカル密度へ前のプロセスが与える影響とその制御 国際会議

    鈴木俊哉, 竹田圭吾, 近藤博基, 石川健治, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年1月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

  • High H radical density produced by 1-m-length atmospheric pressure microwave plasma system

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    High H radical density produced by 1-m-length atmospheric pressure microwave plasma system

  • High Temperature Etching of GaN Preserving Smooth and Stoichiometric GaN Surface

    R. Kometani, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition  2013年10月 

     詳細を見る

    記述言語:英語  

    開催地:Long Beach, California, USA   国名:その他  

    High Temperature Etching of GaN Preserving Smooth and Stoichiometric GaN Surface

  • High temperature plasma etching of GaN

    R. Kometani, S. Chen, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    High temperature plasma etching of GaN

  • Hydrogen radical injection plasma deposition of (110)-preferentially oriented microcrystalline silicon films

    L. Ya, A. Fukushima, Y. Abe, Y. Kim, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Hydrogen radical injection plasma deposition of (110)-preferentially oriented microcrystalline silicon films

  • Hydrogen radical-injection plasma fabricated microcrystalline silicon thin film for solar cells

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine

    21th International Symposium on Plasma Chemistry (ISPC)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Cairns Convention Centre, Australia   国名:その他  

    Hydrogen radical-injection plasma fabricated microcrystalline silicon thin film for solar cells

  • Identification of ESR signals arisen from Penicillium digitatum spores-3 国際会議

    H Mizuno, K Ishikawa, H Tanaka, H Hashizume, T Ohta, M Ito, K Takeda, H Kondo, M Sekine, M Hori

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

    Identification of ESR signals arisen from Penicillium digitatum spores-3

  • In situ Surface analysis of GaAs irradiated by Cl plasma beams 国際会議

    朴鐘胤, 竹内拓也, 石川健治, 節原裕一, 竹田圭吾, 近藤博基, 関根誠,堀勝

    第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学   国名:その他  

    In situ Surface analysis of GaAs irradiated by Cl plasma beams

  • In-situ ESR Measurements for Plasma Materials Interactions

    Kenji ISHIKAWA, Hiromasa TANAKA, Hiroshi HASHIZUME, Takayuki OHTA, Masafumi ITO, Keigo TAKEDA, Hiroki KONDO, Makoto SEKINE, Masaru HORI

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel   国名:その他  

    In-situ ESR Measurements for Plasma Materials Interactions

  • In-situ ESR measurements for Plasma Materials Interractions

    Kenji Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    9th Asian-european International Conference On Plasma Surface Enginnering, Conference and Exhibition  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea   国名:その他  

    In-situ ESR measurements for Plasma Materials Interractions

  • Influence of N2 inclusion to Ar AP plasma in atomization

    J. S.Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013)  2013年2月 

     詳細を見る

    記述言語:英語  

    開催地:Gero Synergy Center "ACTIVE" Gifu , JAPAN   国名:その他  

    Influence of N2 inclusion to Ar AP plasma in atomization

  • Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma

    M. Sekine, S. Amasaki, T. Takeuchi, K. Ishikawa, K. Takeda, H. Kondo, M.Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma

  • Low-damage, high-accuracy plasma etching of Ga-compound semiconductors

    J. Cao, R. Kometani, J. Park, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Low-damage, high-accuracy plasma etching of Ga-compound semiconductors

  • Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition

    K. Sun, K. Takeda, H. Itoh, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013)  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:Ramada Plaza Jeju Hotel, Jeju, Korea   国名:その他  

    Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition

  • Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry

  • Mechanism for degradation of porous SiOCH low-K films by O2 plasma

    K. Asano, K. Ishikawa, M. Sekine, K. Takeda, H. Kondo, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya University, Japan   国名:その他  

    Mechanism for degradation of porous SiOCH low-K films by O2 plasma

▼全件表示

MISC

  • 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの表面電位制御

    橋本拓海, 近藤博基, 田中宏昌, 石川健治, 堤隆嘉, 関根誠, 安井隆雄, 馬場嘉信, 平松美根男, 堀勝

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   69th   2022年   ISSN:2436-7613

     詳細を見る

  • 大気圧プラズマ処理による異種材料接合

    近藤博基, 堤隆嘉, 石川健治, 関根 誠, 堀 勝

    化学工学(公益社団法人 化学工学会)   2018年9月

     詳細を見る

    記述言語:日本語  

  • Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma 査読

    Zecheng Liu, Kenji Ishikawa, Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018年6月

     詳細を見る

    記述言語:英語  

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

    DOI: 10.7567/JJAP.57.06JD01

  • Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes 査読

    Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, Masaru Hori

    Japanese Journal of Applied Physics   2018年6月

     詳細を見る

    記述言語:英語  

    Single-walled carbon nanotubes (SWNTs) were synthesized with a high growth rate by an arc plasma method employing the electrodes made from a Ni–Y mixture catalyst. In a previous study, it was reported that the monitoring of high-crystallinity SWNT growth enabled the evaluation of the results of the optical emission spectroscopy (OES) of C2, Ni, and Y. Here, the impact of helium pressure of arc plasma on the high crystallinity of SWNTs was determined by considering the high intensity ratios of catalytic metals over C2 emissions at low helium pressures in the arc plasma.

    DOI: 10.7567/JJAP.57.06JF01

  • Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition 査読

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018年6月

     詳細を見る

    記述言語:英語  

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46&#37;, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

    DOI: 10.7567/JJAP.57.06JE03

  • Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine

    Tomoki Amano, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018年4月

     詳細を見る

    記述言語:英語  

    Nanographenes were synthesized using in-liquid plasma from a mixture of iron phthalocyanine and ethanol. In a previous study, micrometer-scale flakes with nitrogen incorporation were obtained. A nonprecious metal catalytic activity was observed with 3.13 electrons in an oxygen reduction reaction under an acidic solute condition. Large-surface-area, high-graphene-crystallinity, and iron-carbon-bonding sites were found owing to a high catalytic activity in Fe-N/nanographene.

    DOI: 10.7567/JJAP.57.040303

  • Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells

    Tomoki Amano, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018年4月

     詳細を見る

    記述言語:英語  

    Nanographene was synthesized in triple-phase plasmas comprising a gaseous phase, a gas-liquid boundary layer, and an in-liquid phase using a setup in which one electrode was placed in the gaseous phase while the other was immersed in the liquid phase. The triple-phase plasmas were generated using a pure alcohol, such as ethanol, 1-propanol, or 1-butanol, by applying a high voltage to a pair of electrodes made of copper or graphite. The nanographene synthesized using ethanol had high durability and thus could serve as a catalyst support in polymer electrolyte fuel cells (PEFCs). The PEFCs exhibited low degradation rates in the high-potential cycle test of a half-cell, as a result of which, a loss of only 10&#37; was observed in the effective electrochemical surface area of Pt, even after 10,000 cycles.

    DOI: 10.7567/JJAP.57.045101

  • Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas 査読

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori

    Clinical Plasma Medicine   2018年2月

     詳細を見る

    記述言語:英語  

    Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas

    DOI: 10.1016/j.cpme.2017.12.066

  • Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2018年2月

     詳細を見る

    記述言語:英語  

    Graphenes of nanometer-scale grain size (nanographenes) were synthesized using in-liquid plasmas with alcohols or hydrocarbons. This method of nanographene synthesis showed a trade-off relationship between crystallinity and synthesis rate. The high crystallinity of nanographenes synthesized with alcohols was evaluated from the small full width at half maxima (FWHM) of the G band in Raman scattering spectra. On the other hand, in the case of using hydrocarbons such as n-hexane and benzene, a significantly high synthesis rate was obtained but the crystallinity of nanographenes was low. It was found that hydroxyl groups and oxygen atoms of liquid sources play important roles in determining the crystallinity of synthesized nanographenes.

    DOI: 10.7567/JJAP.57.026201

  • Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   2018年1月

     詳細を見る

    記述言語:英語  

    Giant graphene flakes on the micron scale were synthesized and grown in plasmas in liquid-phase pure ethanol with added iron phthalocyanine (FePc) in a solvent. At atmospheric pressure, plasmas were generated in the gas phase filled with Ar and in the liquid phases comprising bubbles and liquid solutions. In the mixture of FePc in ethanol, nanographene sheets aggregated to form giant graphene flakes, as confirmed by the D, G, and 2D bands in the corresponding Raman spectra. Therefore, a bottom-up approach of graphite synthesis from pure ethanol with additives and a catalyst was realized by in-liquid plasma processing.

    DOI: 10.7567/APEX.11.015102

  • Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy

    Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2017年12月

     詳細を見る

    記述言語:英語  

    The liquid-phase chemical kinetics of a cell culture basal medium during treatment by an argonfed, non-equilibrium atmospheric-pressure plasma source were investigated using real-time ultraviolet absorption spectroscopy and colorimetric assays. Depth- and time-resolved NO2- and NO3- concentrations were strongly inhomogeneous and primarily driven by convection during and after plasma-liquid interactions. H2O2 concentrations determined from deconvolved optical depth spectra were found to compensate for the optical depth spectra of excluded reactive species and changes in dissolved gas content. Plasma-activated media remained weakly basic due to NaHCO3 buffering, preventing the H+-catalyzed decomposition of NO2- seen in acidic plasma-activated water. An initial increase in pH may indicate CO2 sparging. Furthermore, the pH-dependency of UV optical depth spectra illustrated the need for pH compensation in the fitting of optical depth data. Published by AIP Publishing.

    DOI: 10.1063/1.4999256

  • Surface roughening of photoresist after change of the photon/radical and ion treatment sequence

    Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   2017年11月

     詳細を見る

    記述言語:英語  

    The formation of surface roughness during ion irradiation was suppressed by photon/radical pretreatment of a photoresist with HBr or H-2 plasmas. The opposite sequence of treatment after ion irradiation enhanced the surface roughness. The enhancement of roughness after post-treatment was not correlated with a decrease in the film thickness, reactions of Br radicals at the surface, or with the presence of the amorphous carbon-like layer generated by ion irradiation because similar modification was observed for the pretreatment. Fourier transform infrared spectroscopy analysis revealed that the intensities of peaks originated from the C=O absorption of methacrylic acid and methacrylate groups were decreased by irradiation with vacuum ultraviolet light at wavelengths in the range between 115 and 170 nm emitted from HBr or H2 plasmas. The removal of the C=O groups possibly generated dangling bonds and induced crosslinking reactions of the photoresist polymers. The nonuniformity of crosslinking reactions on the roughened photoresist intensively increased the surface roughness. (C) 2017 American Vacuum Society.

    DOI: 10.1116/1.4994218

  • Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   2017年11月

     詳細を見る

    記述言語:英語  

    A mechanism of the cytotoxicity of plasma-activated medium (PAM) is revealed by examining the intracellular effects of reactive oxygen nitrogen species (RONS) and lipid oxidation. PAM is cell culture medium activated by irradiation using non-equilibrium atmospheric-pressure plasma using pure Ar gas in ambient air. Extracellular RONS in PAM induced the apoptotic death of HeLa cells. Temporal changes in intracellular RONS, such as ONOO-, NO, and O2-, were analyzed. Intracellular RONS generation in HeLa cells following incubation in PAM triggered activation of the caspase cascade pathway and lipid peroxidation of intracellular membranes to induce apoptosis.

    DOI: 10.1002/ppap.201700123

  • High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2017年10月

     詳細を見る

    記述言語:英語  

    For polymer electrolyte fuel cell applications, carbon nanowalls (CNWs) were synthesized by radical-injection plasma-enhanced chemical vapor deposition, and a high density of Pt nanoparticles (> 10(12) cm(-2)) was supported on the CNWs using a supercritical fluid deposition system. The high potential cycle tests were applied and the electrochemical surface area of the Pt nanoparticle-supported CNWs did not change significantly, even after 20 000 high potential cycles. According to transmission electron microscopy observations, the mean diameter of Pt changed slightly after the cycle tests, while the crystallinity of the CNWs evaluated using Raman spectroscopy showed almost no change.

    DOI: 10.1088/1361-6463/aa8131

  • Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy

    Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    BIOINTERPHASES   2017年9月

     詳細を見る

    記述言語:英語  

    The multiplex coherent anti-Stokes Raman scattering microscopy allowed label-free visualization of cytoplasmic lipid droplets (LDs). The LDs, which act to conserve energy storage, are usually accumulated during the normal apoptosis of HeLa cells with activation of caspase-3/7 leading to downregulation of the fatty acid catabolism pathways. During cultivating in nonthermal plasma-activated medium (PAM), while the activation of caspase-3/7 was induced, the authors found that a dynamic exhaustion of the intracellular LDs, underlying the metabolic mechanism of the PAM-induced apoptotic cell death of HeLa cells. (c) 2017 American Vacuum Society.

    DOI: 10.1116/1.4997170

  • Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation

    Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2017年8月

     詳細を見る

    記述言語:英語  

    Surface chemical reactions on the GaN surface with Cl radicals are thermally enhanced in the high-temperature Cl2 plasma etching of GaN, resulting in the formation of etch pits and thereby, a roughened surface. Simultaneous irradiation of ultraviolet (UV) photons in Cl2 plasma emissions with wavelengths of 258 and 306nm reduces the surface chemical reactions because of the photodissociation of both Ga and N chlorides, which leads to a suppression of the increase in surface roughness. Compared with Si-related materials, we point out that photon-induced reactions should be taken into account during the plasma processing of wide-bandgap semiconductors. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.10.086502

  • Temperature dependence of protection layer formation on organic trench sidewall in H-2/N-2 plasma etching with control of substrate temperature

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2017年7月

     詳細を見る

    記述言語:英語  

    For the etching of organic films in H-2/N-2 plasma, etched profiles are significantly determined by substrate temperature. Here, we control the substrate temperature variation within 3 degrees C during processing by modulating the plasma-discharge time. The evolution of the cross-sectional profile of line-and-space patterns was observed every 10 s. At 60 and 100 degrees C, sidewall etching was observed during overetching, but not at 20 degrees C. During the main etching, the sidewalls were protected by the adsorption of by-products at various temperatures. Moreover, we investigated the temperature dependence of protection layer formation by analyzing the surface components of the organic film. The CN layer formed by N radicals has a protective effect that depends on the components of the CN layer. It was found that the ratio of C-N sp(3) to C-N sp(2) in the sidewall was highest at 100 degrees C. By evaluating the radical contribution to CN layer formation, C-N sp3 bonds were observed to be formed by ions and radiation-assisted reaction. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.076202

  • Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   2017年6月

     詳細を見る

    記述言語:英語  

    Interactions between non-equilibrium atmospheric-pressure plasma (NEAPP) and living cells were examined using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy. Our multiplex CARS analyses revealed that NEAPP irradiation generates short-lived radicals that induce a decrease in the mitochondrial activity of budding yeast cells.

    DOI: 10.1039/c7cp00489c

  • Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas

    Toshinari Ueyama, Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, Hideo Sugai, Masaru Hori

    Japanese Journal of Applied Physics   2017年6月

     詳細を見る

    記述言語:英語  

    Electron behaviors in a pulsed dual radio frequency (RF) capacitively coupled plasma of a mixture of C4F8, O2, and Ar gases, where the DC bias of &#37;300V in the RF-on period was imposed and synchronously increased to &#37;1000V in the RF-off period, were investigated. The synchronous DC bias prolongs the electron density (ne) decay and provides emission of Ar at a wavelength of 750.38nm in early afterglow at 3 μs during the RF-off period of 10 kHz pulse modulation. The rapid ne decay occurred with the electron attachments to the electronegative fluorocarbons, and thus the plasma consisting of positive and negative ions was generated. The DC bias voltage seems to be applied between the electrodes and the positive ions accelerated to the top electrode, and enhanced the secondary electron generation at the top electrode surface in the RF-off period with the ion bombardments, concomitantly with the synchronous emissions.

    DOI: 10.7567/JJAP.56.06HC03

  • Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 degrees C

    Shinnosuke Takai, Yi Lu, Osamu Oda, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2017年6月

     詳細を見る

    記述言語:英語  

    The InN films were deposited on GaN surfaces at a low temperature of 200 degrees C by radical-enhanced metal organic chemical vapor deposition (REMOCVD). The REMOCVD system can provide N radicals from the plasma of a N-2-H-2 mixture gas without using ammonia. Two types of GaN substrate, bulk GaN and GaN on Si(111), were used. The growth mode was modeled as a step flow on the basis of surface morphology observation by atomic force microscopy. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.06HE08

  • Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

    Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Masaru Hori

    Japanese Journal of Applied Physics   2017年6月

     詳細を見る

    記述言語:英語  

    Fabrication of an electrochemical sensor for hydrogen peroxide (H2O4) detection was demonstrated. H4O2 is a major messenger molecule in various redox-dependent cellular signaling transductions. Therefore, sensitive detection of H2O2 is greatly important in health inspection and environmental protection. Carbon nanowalls (CNWs) are composed of few-layer graphenes standing almost vertically on a substrate forming a three-dimensional structure. In this work, CNWs were used as a platform for H2O2 sensing, which is based on the large surface area of conducting carbon and surface decoration with platinum (Pt) nanoparticles (NPs). CNWs were grown on carbon fiber paper (CFP) by inductively coupled plasma-enhanced chemical vapor deposition to increase the surface area. Then, the CNW surface was decorated with Pt-NPs by the reduction of H2PtCl6. Cyclic voltammetry results indicate that the Pt-decorated CNW/CFP electrode possesses excellent electrocatalytic activity for the reduction of H2O2. Amperometric responses indicate the high-sensitivity detection capability of the Pt-decorated CNW/CFP electrode for H2O2.

    DOI: 10.7567/JJAP.56.06HF03

  • 電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断

    石川健治, 近藤隆, 竹田圭吾, 呉準席, 橋爪博司, 田中宏昌, 近藤博基, 太田貴之, 伊藤昌文, 関根誠 堀勝

    プラズマ・核融合学会誌   2017年5月

     詳細を見る

    記述言語:日本語  

  • Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas

    Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2017年3月

     詳細を見る

    記述言語:英語  

    We present experimentally determined spatial profiles of the interelectrode electron density (n(e)) in dual-frequency capacitively coupled plasmas in which the negative direct current (dc) bias voltage (V-dc) is superposed; in the experiment, 13 MHz (P-low) was applied to the lower electrode and 60 MHz (P-high) to the upper electrode. The bulk n(e) increased substantially with increases in the external power, P-high, P-low, and with increases in V-dc. When P-low was insufficient, the bulk n(e) decreased as the V-dc bias increased. The bulk n(e) increased due to its dependence on V-dc, especially for |V-dc| > 500 V. This may correspond to the sheath voltages (V-s) of the lower electrode. The n(e) values in front of the upper electrode were coupled with the V-dc: the V-dc dependence first decreased and then increased. The dc currents (I-dc) of the upper electrode were collected when a large P-low was applied. The value of I-dc at the threshold value of V-dc approximate to V-s (e.g. -500 V) increased with an increase in n(e). When |V-dc| exceeded the threshold, the spatial n(e) profile and the I-dc dependence were changed relative to the electrical characteristics of the dc superposition; this led to a change in the location of the maximum n(e), the width of the area of n(e) depletion in front of the electrodes, and a transition in the electron heating modes.

    DOI: 10.1088/1361-6463/aa60f7

  • Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes

    Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, Masaru Hori

    Japanese Journal of Applied Physics   2017年3月

     詳細を見る

    記述言語:英語  

    Single-walled carbon nanotubes (SWNTs) were synthesized with a high growth rate using an arc plasma employing carbon electrodes with a Ni-Y mixture catalyst. However, the mechanism of growth of highly crystalline SWNTs has not been clarified. Reactions between carbon and catalyst are considered to be one of the crucial factors in SWNT growth. Optical emission spectroscopy (OES) provides the information about the species in the plasma. C2, Ni, and Y emissions in the arc plasma at different currents were measured to investigate the relationship between active species in the arc plasma and the SWNTsynthesis. On the basis of OES results, it was found that the balance between catalytic metal atoms and C2 radical emissions indicated the crystallinity ratio of SWNTs in thin graphitic or amorphous carbon layers. These results are useful for controlling the growth of SWNTs employing arc plasmas.

    DOI: 10.7567/JJAP.56.035101

  • Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl2 plasma etching of GaN

    Zecheng Liu, Jialin Pan, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   2017年2月

     詳細を見る

    記述言語:英語  

    The minimization of plasma-induced damage (PID) in plasma etching is important for the precise and smooth removal of a depth of approximately 7 nm of GaN films to fabricate gate-recess GaN-based normally-off power electronic devices. We have systematically studied the photoluminescence (PL) properties and surface morphologies of GaN films exposed to Cl2 plasma at 400�C, focusing on their dependences on etch time and ion energy. It is noticeable that PL degradation saturated at etch times of more than 2 min, while surface roughness increased continuously with etch time. Variations of surface roughness with bias voltage were negligible. PID was successfully suppressed by reducing bias voltage, leading to the decrease in incident ion energy on the surface, and thus the near-band-edge emission (NBE) intensity as a PL property was increased to 98.8&#37; of the initial value.

    DOI: 10.7567/JJAP.56.026502

  • Atomic layer etching of SiO2 by alternating an O-2 plasma with fluorocarbon film deposition

    Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   2017年1月

     詳細を見る

    記述言語:英語  

    This work demonstrated a process for the atomic-scale etching of SiO2 films, consisting of alternating nanometer-thick fluorocarbon film deposition with O-2 plasma irradiation in a capacitively coupled plasma reactor. Ar plasma etching after fluorocarbon film deposition tends to suffer from nanometer- or subnanometer-thick carbon films deposited on the SiO2 surface and chamber walls. These carbon films cause various problems, such as reductions in the etching rate per cycle and degradation of the SiO2 quality. In contrast, in our two-step process, O-2 plasma removes carbon atoms in such fluorocarbon films. This process therefore allows the atomic scale etching of SiO2 films without any residue or surface contamination. Additionally, since the etching rate per cycle plateaus as both the etching time and deposition time are extended, it is unnecessary to uniformly deposit a fluorocarbon film over the wafer. (C) 2016 American Vacuum Society.

    DOI: 10.1116/1.4971171

  • Absolute density of precursor SiH3 radicals and H atoms in H-2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films

    Yusuke Abe, Kenji Ishikawa, Keigo Takeda, Takayoshi Tsutsumi, Atsushi Fukushima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   2017年1月

     詳細を見る

    記述言語:英語  

    Microcrystalline hydrogenated silicon films were produced at a high deposition rate of about 2nm/s by using a capacitively coupled plasma under a practical pressure of around 1 kPa. The SiH4 source gas was almost fully dissociated when highly diluted with H-2 gas, and the dominant species in the gas phase were found to be SiH3 radicals, which are film-growth precursors, and H atoms. The absolute density of these species was measured as the partial pressure of SiH4 gas was varied. With the increasing SiH4 gas flow rate, the SiH3 radical density, which was on the order of 10(12) cm(-3), increased linearly, while the H-atom density remained constant at about 10(12) cm(-3). The film growth mechanism was described in terms of precursors, based on the measured flux of SiH3 radicals and H atoms, and the relative fraction of higher-order radicals. Published by AIP Publishing.

    DOI: 10.1063/1.4974821

  • ラジカル支援原子層制御ナノプロセス (小特集 原子を積んで膜をつくる : ALDプロセスの化学工学的展開)

    石川 健治, 小林 明子, 盧 翌, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝

    化学工学   2016年7月

     詳細を見る

    記述言語:日本語  

    Radical Enhanced Atomic Layer-Level Metal-Organic Vapor Deposition

  • Plasma with high electron density and plasma-activated medium for cancer treatment

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shin'ichi Akiyama, Shoichi Maruyama, Suguru Yamada, Yasuhiro Kodera, Hiroki Kaneko, Hiroko Terasaki, Hirokazu Hara, Tetsuo Adachi, Machiko Iida, Ichiro Yajima, Masashi Kato, Fumitaka Kikkawa, Masaru Hori

    Clinical Plasma Medicine   2015年12月

     詳細を見る

    記述言語:英語  

    Cancer treatment using non-thermal atmospheric pressure plasma is a brand new and challenging approach for cancer therapy. Conventional cancer therapies are surgery, radio-therapy, and chemo-therapy. We propose plasma-therapy as the fourth cancer therapy. Plasma cancer therapy involves direct plasma treatment of cancers including melanomas, head and neck cancer, pancreatic cancer and liver metastasis, and indirect plasma treatment of cancers by using plasma irradiated solutions such as plasma-activated medium (PAM).We have been recently studying plasma cancer therapy using target cancers such as ovarian cancers, brain tumors, gastric cancers and skin cancers. We have developed a plasma source with ultrahigh electron density, which we have applied to these cancer cells. In addition, we found that plasma-irradiated medium itself can kill these cancer cells. This medium was termed plasma-activated medium (PAM). In vitro and in vivo studies have suggested that PAM is an important tool for cancer therapy especially for disseminated cancers that are currently untreatable.Although many dramatic therapeutic effects of plasma therapy on cancer cells have been reported, the molecular mechanisms of the anti-tumor effects of plasma remain to be elucidated. The greatest challenge for plasma medical science is to understand the complex system that mediates plasma inputs resulting in physiological outputs such as cell death of cancer cells and proliferation of normal cells. Intracellular molecular mechanisms of PAM are also being intensively studied in order to understand the mode of action of PAM. In this review, we summarize the latest understanding of plasma cancer treatments.

    DOI: 10.1016/j.cpme.2015.09.001

  • フォトリソグラフィとUVキュア処理によるナノギャップ電極形成

    粂内 真子, 熊谷 慎也, 趙 享峻, 今井 駿, 近藤 博基, 石川 健治, 堀 勝, 佐々木 実

    「センサ・マイクロマシンと応用システム」シンポジウム論文集 電気学会センサ・マイクロマシン部門 [編]   2015年10月

     詳細を見る

    記述言語:日本語  

    Nano-Gap Electrode Realized by Photolithography Combined with UV Curing Processing

  • シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動

    堀勝, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 韓銓建

    プラズマ・核融合学会誌   2015年5月

     詳細を見る

    記述言語:日本語  

  • Carbon nanowall scaffold to control culturing of cervical cancer cells 査読

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    APPLIED PHYSICS LETTERS   2014年12月

     詳細を見る

    記述言語:英語  

    The effect of carbon nanowalls (CNWs) on the culturing rate and morphological control of cervical cancer cells (HeLa cells) was investigated. CNWs with different densities were grown using plasma-enhanced chemical vapor deposition and subjected to post-growth plasma treatment for modification of the surface terminations. Although the surface wettability of the CNWs was not significantly dependent on the CNW densities, the cell culturing rates were significantly dependent. Morphological changes of the cells were not significantly dependent on the density of CNWs. These results indicate that plasma-induced surface morphology and chemical terminations enable nanobio applications using carbon nanomaterials. (C) 2014 AIP Publishing LLC.

    DOI: 10.1063/1.4902054

  • Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control 査読

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2014年10月

     詳細を見る

    記述言語:英語  

    In plasma etching processes, temporal changes in atom densities need to be avoided since the ratio of atom densities is known to directly determine feature profiles. For H-2 and N-2 mixture plasmas, temporal changes in H and N atom densities are affected by chamber wall conditions, particularly after air exposure. Here we demonstrate that a new feedback control system recently developed by us could autonomously recover atom densities to the intended values in real time, on the basis of vacuum ultraviolet absorption spectroscopy measurements. Real-time process control is thus crucially achieved to suppress fluctuations in feature profiles due to plasma etching.

    DOI: 10.1088/0022-3727/47/42/422002

  • Temporal changes in absolute atom densities in H-2 and N-2 mixture gas plasmas by surface modifications of reactor wall 査読

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Honi

    JAPANESE JOURNAL OF APPLIED PHYSICS   2014年5月

     詳細を見る

    記述言語:英語  

    Real time vacuum ultraviolet absorption spectroscopic (VUVAS) measurements revealed that temporal changes in absolute atom densities in H-2 and N-2 mixture gas (H-2/N-2) plasmas were affected by the conditions of the reactor inner wall-surface made of anodically-oxidized aluminum. Depending on preceding processes prior to the H-2/N-2 plasma process, N and H atom densities decreased for a nitrided wall surface, while no change occurred for a hydrogenated surface. Thus, the process history was revealed in the loss-properties of atoms on the reactor wall-surfaces. Suppressing the temporal variations in the gas-phase atom density is crucially important for realizing the precise control of the material processing with a high reproducibility. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.050301

  • Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O-2 non-equilibrium atmospheric-pressure plasma jet

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine, Masaru Hori

    PLASMA SOURCES SCIENCE & TECHNOLOGY   2014年4月

     詳細を見る

    記述言語:英語  

    A method combining of two-photon absorption laser-induced fluorescence and vacuum ultra-violet absorption spectroscopy is constructed and used to get the fine spatial distribution of O generated by a planar type of Ar/O-2 non-equilibrium atmospheric-pressure plasma jet. The O density in the quasi-uniform region, which occupies about 80&#37; of the total plasma width, is as high as 10(15) cm(-3). The lifetime of O is estimated to be about 360 +/- 60 mu s. The loss of O atoms is due to the three-body reaction of O + O2 + Ar -> O-3 + Ar confirmed by a simple calculation with a few rate equations. The results are very useful for the simulation of Ar/O-2 plasmas, the design of large-scale planar plasma jets and the development of their potential applications.

    DOI: 10.1088/0963-0252/23/2/025004

  • Effects of Different Chamber-Wall Conditions on Temporal Changes of H and N Radicals Densities in H2 and N2 Mixture Gas Plasma

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys. 53 (5) (Apr 7, 2014) 050301   2014年4月

     詳細を見る

    記述言語:英語  

    Effects of Different Chamber-Wall Conditions on Temporal Changes of H and N Radicals Densities in H2 and N2 Mixture Gas Plasma

    DOI: 10.7567/JJAP.53.050301

  • Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls 査読

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Maseru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2014年4月

     詳細を見る

    記述言語:英語  

    For utilization in future electronic application of graphene materials, nitrogen (N) atom doping into graphene sheets is an important technology. We investigated the electrical conduction of carbon nanowalls (CNWs), consisting of stacks of graphene sheets standing vertically on substrates. By post-treatment for 30 s, the electrical conductivity of CNWs increased. On the other hand, as the post-treatment time increased, the electrical conductivity decreased. According to Hall measurement, the carrier density decreased with increasing post-treatment time, while the carrier mobility increased. Consequently, the electrical conduction of the CNWs was successfully controlled by N-2 plasma treatment. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.040307

  • Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N-2/H-2 excited plasma - effect of TMG flow rate and VHF power 査読

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JOURNAL OF CRYSTAL GROWTH   2014年4月

     詳細を見る

    記述言語:英語  

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H-2 gas together with N-2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source now rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 mu m/h at a low temperature of 800 degrees C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas. (C) 2014 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.jcrysgro.2014.01.014

  • Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls 査読

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2014年4月

     詳細を見る

    記述言語:英語  

    Cauliflorous nanographene sheets were hierarchically regrown on the spearlike structures of carbon nanowalls (CNWs) produced by O-2-plasma etching. The spears on the CNWs acted as a stem for the growth of flowerlike flaky nanographene sheets, where the root of the nanoflower was located at a defect or disordered site. The defects on the graphitic structures were induced by irradiation with oxygen-related radicals and ions in the O-2-based plasmas and acted as sites for the nucleation of flowerlike nanographene. The porous carbon nanostructures regrown after O-2-plasma treatment have a relatively higher surface area and are thus promising materials for electrochemical applications. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.7.046201

  • Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution 査読

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2014年4月

     詳細を見る

    記述言語:英語  

    Carbon nanowalls (CNWs), which are three-dimensional carbon nanomaterials consisting of stacks of graphene sheets vertically standing on substrates, possess a mazelike architecture containing high-density graphene edges and large-area plane surfaces. A selective morphological modification technique for the surfaces of CNWs after their growth has been developed employing hydrogen peroxide (H2O2) solution. It was found that oxidative radicals in H2O2 solution formed characteristic nanometer-scale asperities on the CNW surface without etching from the top edges. Photoelectron spectra indicate that hydroxyl adsorption and subsequent reactions at the edge and plane of graphene contribute to the selective morphological change on the CNW surface. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.040305

  • Density control of carbon nanowalls grown by CH4/H-2 plasma and their electrical properties 査読

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hirarnatsu, Masaru Hori

    CARBON   2014年3月

     詳細を見る

    記述言語:英語  

    We report on successful control of the density of carbon nanowalls (CNWs) synthesized using radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) employing CH4/H-2 plasma, by varying the total pressure and discharge power during growth. As the total pressure was decreased or the power was increased, the density of CNWs decreased in conjunction with an increase in the H atom density measured using actinometric optical emission spectroscopy. The electrical conductivity of the films was found to be determined by the number of CNWs per unit length, i.e., the linear density. We demonstrated that the electrical properties could be controlled while maintaining the crystal quality and chemical bonding state of the synthesized CNWs in the RI-PECVD. (C) 2013 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.carbon.2013.11.014

  • Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C 査読

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   2014年1月

     詳細を見る

    記述言語:英語  

    Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O-2/Ar plasma at 50 degrees C
    We report the temporal evolution of surface species observed in situ using attenuated total reflection Fourier transform infrared absorption spectroscopy (ATR-FTIR) during plasma-enhanced atomic layer deposition (PE-ALD) of SiO2 films employing aminosilane and an O-2/Ar plasma at a temperature of 50 degrees C. Reversals in the appearance of IR absorbance features associated with SiO-H, C-H-x, and Si-H proved to coincide with the self-limiting reaction property in ALD. Our IR results indicate that an O-2/Ar plasma can both removed CHx groups and transform SiH surface species to SiOH. In addition, SiO2 deposition was confirmed by a continuous increase in Si-O absorbance with each PE-ALD step, which becomes stable after several cycles. On the basis of our results, the mechanism of low temperature SiO2 PE-ALD was discussed. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.010305

  • Field Emissions from Organic Nanorods Armored with Metal Nanoparticles

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013年12月

     詳細を見る

    記述言語:英語  

    We report the fabrication of organic nanorods with a diameter of approximately 10 nm and a height of 106.8 nm (a high aspect ratio of 10.5) armored by Pt nanoparticles. Our results demonstrate that Pt particles deposited by metalorganic supercritical chemical fluid deposition (MOCFD) covering the entire deposition area play important roles in not only etch resistance, especially in protecting the sidewalls, but also the formation of electroconductive Pt/C composites, which were found to have field emission properties. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.120203

  • Effect of indirect nonequilibrium atmospheric pressure plasma on anti-proliferative activity against chronic chemo-resistant ovarian cancer cells in vitro and in vivo

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, Fumitaka Kikkawa

    PLoS ONE   2013年12月

     詳細を見る

    記述言語:英語  

    Purpose: Nonequilibrium atmospheric pressure plasma (NEAPP) therapy has recently been focused on as a novel medical practice. Using cells with acquired paclitaxel/cisplatin resistance, we elucidated effects of indirect NEAPP-activated medium (NEAPP-AM) exposure on cell viability and tumor growth in vitro and in vivo. Methods: Using chronic paclitaxel/cisplatin-resistant ovarian cancer cells, we applied indirect NEAPP-exposed medium to cells and xenografted tumors in a mouse model. Furthermore, we examined the role of reactive oxygen species (ROS) or their scavengers in the above-mentioned EOC cells. Results: We assessed the viability of NOS2 and NOS3 cells exposed to NEAPP-AM, which was prepared beforehand by irradiation with NEAPP for the indicated time. In NOS2 cells, viability decreased by approximately 30&#37; after NEAPP-AM 120-sec treatment (P&lt
    0.01). The growth-inhibitory effects of NEAPP-AM were completely inhibited by N-acetyl cysteine treatment, while L-buthionine-[S, R]-sulfoximine, an inhibitor of the ROS scavenger used with NEAPP-AM, decreased cell viability by 85&#37; after NEAPP-AM 60-sec treatment(P&lt
    0.05) and by 52&#37; after 120 sec, compared to the control (P&lt
    0.01). In the murine subcutaneous tumor-formation model, NEAPP-AM injection resulted in an average inhibition of the NOS2 cell-inoculated tumor by 66&#37; (P&lt
    0.05) and NOS2TR cell-inoculated tumor by 52&#37; (P&lt
    0.05), as compared with the control. Conclusion: We demonstrated that plasma-activated medium also had an anti-tumor effect on chemo-resistant cells in vitro and in vivo. Indirect plasma therapy is a promising treatment option for EOC and may contribute to a better patient prognosis in the future. © 2013 Utsumi et al.

    DOI: 10.1371/journal.pone.0081576

  • High H radical density produced by 1-m-long atmospheric pressure microwave plasma system

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, Masaru Hori

    Japanese Journal of Applied Physics   2013年11月

     詳細を見る

    記述言語:英語  

    We have developed an atmospheric pressure microwave plasma system with a microwave antenna consisting of two microwave guides, which have a discharge line with 41 slots. The antenna is set against a movable stage with a heater in a process chamber. The process gas used is a 1&#37; H2 gas diluted by Ar gas. We clarified various characteristics, such as gas temperature, electron density, and hydrogen radical density, using this system, and found that the gas temperature is about 1000 K, the electron density is 1 × 1015 cm-3, and the H radical density is 1 × 1016 cm -3 at the slot under the condition of a 10 GHz, 1.5 kW, pulsed microwave with a pulsed voltage of 2.5, a pulsed frequency of 4 kHz, and a duty ratio of 0.16. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.11NE01

  • Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, Masafumi Ito

    APPLIED PHYSICS LETTERS   2013年10月

     詳細を見る

    記述言語:英語  

    Rapid high-precision temperature monitoring systems for silicon wafers applicable even during plasma processing have been developed using frequency-domain low-coherence interferometry without a reference mirror. It was found to have a precision of 0.04 degrees C, a response time of 1 ms, and a large tolerance to mechanical vibrations and fiber vending when monitoring the temperature of commercial Si wafers. The performance is a substantial improvement over the previous precision of 0.11 degrees C measured in a few seconds using a time-domain method. It is, therefore, a powerful real-time technique to monitor rapidly varying wafer temperatures with high precision. (C) 2013 AIP Publishing LLC.

    DOI: 10.1063/1.4827426

  • Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2013年9月

     詳細を見る

    記述言語:英語  

    Carbon nanowalls (CNWs) consist of walls of thin graphite with thicknesses of a few tens of nanometers that stand vertically on a substrate. For modification of their structure after growth, we developed a method utilizing etching with oxygen atoms generated by an inductively coupled oxygen plasma. We found that oxygen atoms etched CNWs selectively from the top edges without etching reaction of the wall surface as the graphitic planes. This can provide a method for realizing carbon nanoelectronics by selective modification of the edges without oxidation of the graphene planes. (c) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.6.095201

  • Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    Hitoshi Watanabe, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Shailesh Kumar, Kostya Ostrikov, Masaru Hori

    PLASMA PROCESSES AND POLYMERS   2013年7月

     詳細を見る

    記述言語:英語  

    Carbon nanowalls (CNWs) are self-assembled, free-standing, few-layered graphenenano-structures with large surface area, and thin graphene edges. For their application to nanobiotechnology, the effects of chemisorbed species on surface wettability were investigated. The surfaces of as-grown CNWs obtained using CH4/H-2 mixture were hydrophilic. After Ar atmospheric pressure plasma treatments for up to 30s, the contact angles of water droplets on the CNWs decreased from 51 degrees to 5 degrees, owing to a result of oxidation only at edges and surface defects. They increased up to 147 degrees by CF4 plasma treatment at low pressure. The wide-range control of surface wettability of CNWs was realized by post-growth plasma treatments. We also demonstrated detection of bovine serum albumin using surface-modified CNWs as electrodes.

    DOI: 10.1002/ppap.201200141

  • Surface morphology on high-temperature plasma-etched gallium nitride

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Trans. Mater. Res. Soc. Jpn. 38 (2)   2013年6月

     詳細を見る

    記述言語:英語  

    We report the surface morphology of gallium nitride (GaN) films during the argon and nitrogen plasma etching at elevated temperatures up to 800°C. For Ar plasma at high substrate temperatures above 600°C, Ar+ ion bombardment dissociates Ga-N bonds by the preferential removal of nitrogen, which promotes roughness of the GaN surface by the aggregation of gallium atoms. In N2 plasma exposure, the N/Ga remains stoichiometric with higher values above 0.85, and the surface is not significantly roughened, even higher at 600°C. Therefore, the aggregation of metallic Ga induces surface roughening during ion-enhanced etching of GaN with elevated substrate temperatures.

    DOI: 10.14723/tmrsj.38.325

  • A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2013年5月

     詳細を見る

    記述言語:英語  

    We report the damageless surface morphology of gallium nitride (GaN) films during argon and nitrogen plasma etching at elevated temperatures up to 600 degrees C. For Ar plasma bombardment at high substrate temperatures of around 600 degrees C, Ar+ ion bombardment dissociates Ga-N bonds by the preferential removal of nitrogen, which promotes roughness of the GaN surface by the aggregation of gallium atoms. For the N-2 plasma one, the N/Ga remains stoichiometric with higher values above 0.69, and the surface is not significantly roughened, even at 600 degrees C. Therefore, the aggregation of metallic Ga induces surface roughening during ion-enhanced etching of GaN at elevated substrate temperatures. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.6.056201

  • Supercritical Fluid Deposition of High-Density Nanoparticles of Photocatalytic TiO2 on Carbon Nanowalls

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS EXPRESS   2013年4月

     詳細を見る

    記述言語:英語  

    Nanoparticles of the anatase phase of TiO2 (2.7 +/- 0.7 nm) with a high density of 10(12) cm(-2) were supported on the entire surface of carbon nanowalls (CNWs) by employing metal organic chemical fluid deposition in supercritical carbon dioxide at a substrate temperature of 180 degrees C. For decomposing methylene blue under ultraviolet irradiation, a high photocatalytic decomposition rate of 6 mg/h was obtained for 1 mg of TiO2 supported on CNWs. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/APEX.6.045103

  • Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma

    Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2013年3月

     詳細を見る

    記述言語:英語  

    We report an observed relationship between chemical modifications and physical morphological roughness on a photoresist for ArF excimer laser photolithography in fluorocarbon plasma beam irradiation. At the very beginning period, three stages of characteristics of chemical changes occurred upon surface roughening or wrinkling of the photoresist; (1) a rapid reduction of C=O bonds, (2) gradual formation of a fluorocarbon layer, and graphitic (sp(2)-C) or amorphous (sp(3)-C) carbon layer; (3) as elapsed incubation phase, i.e. lag, where reached a steady state of chemical changes for fluorocarbon ion irradiation on the surface; finally morphological changes initiated. Those processes evolved within dose of 6 x 10(15) cm(-2) for ion energy of a few hundred eV.

    DOI: 10.1088/0022-3727/46/10/102001

  • Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    Chapter 9 in Book "New Progress on Graphene Research"   2013年3月

     詳細を見る

    記述言語:英語  

    Graphene Nanowalls

    DOI: 10.5772/3358

  • I-1-2 高密度ラジカル源を用いた分子線エピタキシー法によるIII族窒化物エピタキシャル成長(窒化物半導体デバイスの精密加工プロセス-窒化物LEDに関わる先端デバイスプロセシング-,口頭発表)

    河合 洋次郎, 本田 善央, 山口 雅史, 天野 浩, 近藤 博基, 平松 美根男, 加納 浩之, 山川 晃司, 田 昭治, 堀 勝

    IIP情報・知能・精密機器部門講演会講演論文集   2013年3月

     詳細を見る

    記述言語:日本語  

    Although plasma-assisted molecular beam epitaxy(PA-MBE) is a promising technique for GaN growth,the growth rate obtained by this technique is lower than that obtained by metal organic vapor phase epitaxy(MOVPE).In order to improve the growth rate of the technique,high density radical source(HDRS) was developed.By vacuum ultraviolet absorption spectroscopy(VUVAS) measurement,two orders of magnitude higher radical density was confirmed in a comparison of the HDRS and a conventional radical source(CRS).While faster growth rate of 1.4μm/h in GaN homoepitaxy was achieved,better crystalline quality of InxGa_<(1-x)>N(x=0.03~0.16) epilayers with approximately 1.4μm thickness were also achieved by introducing the HDRS in PA-MBE.

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013年2月

     詳細を見る

    記述言語:英語  

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N-2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp(2))=N(sp(2))- and -C(sp) N(sp). (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.020204

  • Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013年2月

     詳細を見る

    記述言語:英語  

    A high-density radical source (HDRS) was developed by optimizing the antenna structure and introducing an external magnetic field to plasma. Nitrogen radical generation by the HDRS at a density of 2.3 x 10(12) atoms cm(-3), which was one order higher than that for the conventional radical source (CRS), was achieved. The HDRS- and CRS-assisted InGaN growth in molecular beam epitaxy (MBE) was carried out. For the HDRS case, a diffraction peak in the X-ray rocking curve of the grown InGaN films showed a narrower peak, which width below 600 arcsec even with a high growth rate of 1.4 mu m/h for InGaN. MBE with the assistance of HDRS has a great potential in the growth of nitride films with a lower mosaicity and a higher growth rate. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.021001

  • Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013年2月

     詳細を見る

    記述言語:英語  

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N-2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp(2))=N(sp(2))- and -C(sp) N(sp). (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.020204

  • Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2013年1月

     詳細を見る

    記述言語:英語  

    The surface loss probability of H radicals was investigated in SiH4/H-2 plasma using vacuum ultraviolet resonance absorption spectroscopy. The surface loss probability was calculated from the decay curve of the H radical density in the plasma afterglow and increased with the SiH4 flow rate. Silicon thin films deposited on the chamber wall were analyzed to investigate the relation between the surface loss probability and the surface condition. The surface reaction of H radicals is influenced by deposition precursors, such as SiH3 radicals. The density of H radicals significantly decreased with heating of the chamber wall up to 473 K. The surface loss probability of H radicals was estimated to be ca. 1 at 473 K. Quantitative measurements of the surface loss probability of H radicals in SiH4/H-2 plasma are expected to be particularly important for understanding the surface reactions that occur during the deposition of silicon thin films. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4773104]

    DOI: 10.1063/1.4773104

  • Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2013年1月

     詳細を見る

    記述言語:英語  

    Modification of an advanced ArF excimer lithographic photoresist by 400 eV Ar ion irradiation was observed in situ in real time using both infrared spectroscopy and a quartz microbalance sensor. The photoresist sputtering yields had a characteristic behavior; the sputtering yields were higher than unity at the beginning, until an ion dose of 2 x 10(16) ions cm(-2). Thereafter, the yields decreased immediately to almost zero and remained constant with the yield at zero until a dose of approximately 4 x 10(16) ions cm(-2) was reached. At larger doses, the yields increased again and reached a steady-state value of approximately 0.6. This development of the sputtering yield after the onset of ion bombardment is explained by an ion-induced modification of the photoresist that includes preferential sputtering of individual groups, argon ion implantation and the generation of voids. All these effects must be taken into account to assess line-edge-roughness on a photoresist subjected to highly energetic ion irradiation. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4772996]

    DOI: 10.1063/1.4772996

  • Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition

    Mineo Hiramatsu, Masateru Naito, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013年1月

     詳細を見る

    記述言語:英語  

    Microwave plasma is one of the high-density plasmas and has been extensively used for the growth of diamond and aligned carbon nanotubes for more than a decade. However, the conventional microwave plasma of the cylindrical resonant cavity type is not suitable for the synthesis of graphene. The plasma ball produced in the resonant cavity provides a number of important species as well as ions, while deposits are damaged by the excess ion bombardment since the substrate is exposed to the plasma ball. To simply control the position of the plasma ball and reduce the ion bombardment on the substrate surface, a grounded molybdenum mesh was installed over the substrate plate to realize a remote plasma configuration. As a result, the distance between the plasma ball and the copper substrate was increased, and few-layer graphene-based films were successfully synthesized in 1 min on copper substrates placed on the entire region of a substrate holder 10 cm in diameter by using conventional microwave-plasma enhanced chemical vapor deposition. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.01AK04

  • Highly selective etching of SiO2 over Si3N 4 and Si in capacitively coupled plasma employing C 5HF7 gas

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Atsuyo Yamazaki, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    Japanese Journal of Applied Physics   2013年1月

     詳細を見る

    記述言語:英語  

    In a dual-frequency-excited parallel plate capacitively coupled plasma employing a heptafluoro-cyclo-pentene (C5HF7) gas with addition of O2 and dilution in Ar gas, highly selective etching of SiO2 at selectivities of 40 against Si3N4 and 57 against polycrystalline Si was realized. Gas phase fluorocarbon species containing H atoms such as CxHFy (x &gt
    2) played key roles in the selective deposition of thick hydrofluorocarbon films that covered the Si3N4 and polycrystalline silicon (poly-Si) surfaces and in the selective etching of SiO2 over the photoresist, SiN, and Si. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.016201

  • Highly selective etching of SiO2 over Si3N 4 and Si in capacitively coupled plasma employing C 5HF7 gas

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Atsuyo Yamazaki, Azumi Ito, Hirokazu Matsumoto, Masaru Hori

    Japanese Journal of Applied Physics   2013年1月

     詳細を見る

    記述言語:英語  

    In a dual-frequency-excited parallel plate capacitively coupled plasma employing a heptafluoro-cyclo-pentene (C5HF7) gas with addition of O2 and dilution in Ar gas, highly selective etching of SiO2 at selectivities of 40 against Si3N4 and 57 against polycrystalline Si was realized. Gas phase fluorocarbon species containing H atoms such as CxHFy (x &gt
    2) played key roles in the selective deposition of thick hydrofluorocarbon films that covered the Si3N4 and polycrystalline silicon (poly-Si) surfaces and in the selective etching of SiO2 over the photoresist, SiN, and Si. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.016201

  • Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF APPLIED PHYSICS   2013年1月

     詳細を見る

    記述言語:英語  

    A plasma-enhanced chemical deposition system with hydrogen radical-injection (RI) is proposed for the fabrication of hydrogenated microcrystalline silicon (mu c-Si: H) thin films. The plasma parameters and resultant growth characteristics obtained with the RI-capacitively coupled plasma (RI-CCP) system excited with 60MHz power were compared with those obtained using a conventional CCP (C-CCP) system. The absolute density of hydrogen (H) radicals was measured by vacuum ultraviolet laser absorption spectroscopy (VUVLAS) to evaluate the effect of RI for controlling the H radical density. A higher density of H radicals was achieved with RI-CCP than with C-CCP by H RI. The crystallinity factor, preferential orientation, defect density, microstructure, and post-deposition oxidation of Si thin films deposited using C-CCP and RI-CCP were investigated. Crystallinity factor of 0.6 was realized with high deposition rate of about 2 nm/s even under a low plasma density using RI-CCP. The defect density of mu c-Si: H thin films prepared using RI-CCP was lower than that in thin films prepared using C-CCP. In addition, post-deposition oxidation of the films with RI-CCP was lower than that with C-CCP. The high performance of RI-CCP for the fabrication of mu c-Si:H thin films for solar cell devices is also demonstrated. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4778608]

    DOI: 10.1063/1.4778608

  • Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2013年1月

     詳細を見る

    記述言語:英語  

    Carbon nanowalls (CNWs), a self-organized network of vertically standing few-layer graphenes, were synthesized by inductively coupled plasma-enhanced chemical vapor deposition (ICP-CVD) employing methane and argon mixtures. Significant interest exists in clarifying the nucleation mechanism of CNWs and controlling their nucleation. We have investigated the early growth stage of CNWs on the catalyst-free substrate and the titanium (Ti)-nanoparticle-catalyzed substrate. In the case of catalyst-free growth of CNWs, there was an induction period of 1-5 min before the onset of vertical nanographene growth and an interface layer exists between the vertical nanographenes and the surface of Si and SiO2 substrates. Meanwhile, in the case of the growth on the Ti nanoparticle-coated SiO2 substrates, the nanographenes were directly nucleated from the Ti nanoparticles without forming a base layer within 30 s, while no nucleation was observed on the SiO2 surface at this period. These results suggest the possibility of area-selective growth of CNWs by controlling the substrate biasing to suppress the nucleation selectively from the catalyst-free surface. (C) 2013 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.01AK05

  • Individual Roles of Atoms and Ions during Hydrogen Plasma Passivation of Surface Defects on GaN Created by Plasma Etching

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2012年11月

     詳細を見る

    記述言語:英語  

    In the recovery of photoluminescence intensities for band-edge emissions at around 3.47 eV in the case of gallium nitride (GaN), we have studied the individual roles of hydrogen atoms (H) and hydrogen ions (H-n(+)). Surface defects such as nitrogen vacancies created by plasma etching were passivated by H termination. By utilizing hydrogen plasmas, we clarified the recovery efficiency by optical and stoichiometrical improvements with respect to the balance between the fluxes of H and H-n(+). By deflecting H-n(+) by applying an electric field, the efficiency was improved using an identical H dosage, since the simultaneous irradiation of the energetic H-n(+) promoted the desorption of the formed passivated Ga-H bonds. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.111002

  • GRAPHENE FOREST DEVICES AS CELL SCAFFOLDS FOR STEM CELLS 査読

    Yukihiro Okamoto, Hitoshi Watanabe, Kazutoshi Kubo, Hiroki Kondo, Noritada Kaji, Manabu Tokeshi, Masaru Hori, Yoshinobu Baba

    Micro Total Analysis Systems 2012   2012年10月

     詳細を見る

    記述言語:英語  

    GRAPHENE FOREST DEVICES AS CELL SCAFFOLDS FOR STEM CELLS
    We developed carbon nanowalls devices (CNWs), on which graphenes vertically stand in the nanometer spacing like "graphene forest", with different wettability. CNWs permitted cell adhesion and proliferation, and especially super hydrophobic CNWs enabled easy and less invasive cell collection. Furthermore, collagen coated CNWs successfully enhanced the differential ability of the human mesenchymal stem cells (hMSC) to osteoblast cells compared to collagen coated polystyrene culture dishes. Thus, CNWs have superior many properties as cells scaffolds and are expected to be useful for regenerative medicine.

  • Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   2012年10月

     詳細を見る

    記述言語:英語  

    The properties of thin-film silicon grown by plasma enhanced chemical vapor deposition were investigated with respect to the flux ratio of hydrogen radical to film precursor. The absolute density and translational temperature of H radicals in SiH4/H-2 capacitively coupled plasma were measured using vacuum ultraviolet laser absorption spectroscopy. The flux of effective H radicals to the surface reactions was estimated from the results. The flux of effective film precursor for deposition was estimated from the deposition rate, so that the critical flux ratio of H radicals to film precursor to obtain suitable film properties was identified to be approximately 65-70. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.4764065]

    DOI: 10.1063/1.4764065

  • プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用

    堀 勝, 鈴木 俊哉, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠

    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース/2012, Summer, No.33   2012年8月

     詳細を見る

    記述言語:日本語  

  • Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS LETTERS   2012年7月

     詳細を見る

    記述言語:英語  

    We report the kinetic analysis of free radicals on fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge using real time in situ electron spin resonance (ESR) measurements. We have obtained information that the ESR signal from the spores was observed and preliminarily assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal is possibly linked to the inactivation of the fungal spore. The real-time in situ ESR has proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.4733387]

    DOI: 10.1063/1.4733387

  • Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, Masaru Hori

    AIP ADVANCES   2012年6月

     詳細を見る

    記述言語:英語  

    The effect of in-situ exposure of n-GaN damaged by Cl-2 plasma to atomic hydrogen (H radicals) at room temperature was investigated. We found that the PL intensities of the band-edge emission, which had been drastically reduced by plasma-beam irradiation at a Cl ion dose of 5 x 10(16) cm(-2), recovered to values close to those of as-grown samples after H radical exposure at a dose of 3.8 x 10(17) cm(-2). XPS revealed the appearance of a peak at a binding energy of 18.3 eV, which is tentatively assigned to Ga-H, and confirmed the removal of Cl after Hradical exposure. Copyright 2012 Author(s). This article is distributed under a Creative Commons Attribution 3.0 Unported License. [http://dx.doi.org/10.1063/1.4729448]

    DOI: 10.1063/1.4729448

  • Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma

    Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    APPLIED PHYSICS EXPRESS   2012年3月

     詳細を見る

    記述言語:英語  

    Ultrahigh-speed synthesis of high-crystallinity nanographene was realized using an alcohol in-liquid plasma, which was generated from a nonequilibrium microhollow atmospheric-pressure plasma with an ultrahigh electron density. The synthesis rates of carbon materials were 0.61 and 1.72 mg/min using ethanol and butanol, respectively. Multilayer nanographene structures obtained using ethanol had an interlayer spacing of 0.33 nm, corresponding to that of (002) planes in graphite. The G-, D-, D'-, and 2D- band peaks in the Raman spectrum also confirmed the formation of nanographene. The mechanism of gradual growth of six-membered ring structures was clarified by gas chromatography of the filtrate. (c) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.5.035101

  • Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiromasa Tanaka, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    APPLIED PHYSICS LETTERS   2012年3月

     詳細を見る

    記述言語:英語  

    Two independent ovarian cancer cell lines and fibroblast controls were treated with nonequilibrium atmospheric pressure plasma (NEAPP). Most ovarian cancer cells were detached from the culture dish by continuous plasma treatment to a single spot on the dish. Next, the plasma source was applied over the whole dish using a robot arm. In vitro cell proliferation assays showed that plasma treatments significantly decreased proliferation rates of ovarian cancer cells compared to fibroblast cells. Flow cytometry and western blot analysis showed that plasma treatment of ovarian cancer cells induced apoptosis. NEAPP could be a promising tool for therapy for ovarian cancers. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.3694928]

    DOI: 10.1063/1.3694928

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(3)

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学関係連合講演会講演予稿集(CD-ROM)   2012年2月

     詳細を見る

    記述言語:日本語  

    プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(3)

  • Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minam, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    Jpn. J. Appl. Phys. 51 (2012) 026201   2012年1月

     詳細を見る

    記述言語:英語  

    Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

  • Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2012年1月

     詳細を見る

    記述言語:英語  

    The precise etching of organic films with a low dielectric constant (low-k) in a dual-frequency capacitively coupled plasma etching reactor with a plasma generation of 100 MHz and an applied bias of 2 MHz employing a gas mixture of hydrogen and nitrogen was performed by real-time control of the densities of hydrogen (H) and nitrogen (N) radicals based on real-time measurement of the Si substrate temperature. H and N radical densities were monitored near the sidewall of the reactor by vacuum ultraviolet absorption spectroscopy, and temperature was monitored by an optical fiber-type low-coherence interferometer. On the basis of the results of surface analysis by X-ray photoelectron spectroscopy, etched profiles were effectively determined from the chemical component of protection layers on the sidewall of the etched pattern affected by the ratio of H/(H + N) and substrate temperature. As the etching feature evolves, the ratio of radical density should be controlled temporally to maintain vertical profiles according to the change in substrate temperature. As a result, we have successfully realized an organic film with a vertical feature. These results indicate the need for autonomous control of the etch process based on real-time information on the plasma process for the next-generation ultrafine etching. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.016202

  • Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments

    Hitoshi Watanabe, Hiroki Kondo, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2012年1月

     詳細を見る

    記述言語:英語  

    Super hydrophobic and super hydrophilic surface treatment methods for carbon nanowalls (CNWs) which are carbon nanostructures consisting of stacks of graphene sheets vertically standing on substrates and having ultra high aspect ratios of over 50, were developed using atmospheric pressure plasma, and their mechanisms were discussed on the basis of the elemental compositions of surfaces. The contact angle of water droplet on CNWs markedly decreased from 137.3 to 6.2 degrees with the atmospheric pressure plasma treatments using Ar gas. This indicates the super hydrophobic and super hydrophilic transition of CNW surfaces. Although a morphological change was hardly found, the surface compositions of fluorine and oxygen atoms markedly changed after the plasma treatment for only 5s. Furthermore, the treatment time dependence of the surface tension of CNWs indicates two different mechanisms at earlier and later stages of surface modification using atmospheric pressure plasma. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.01AJ07

  • Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2012年1月

     詳細を見る

    記述言語:英語  

    Superpositioning of negative dc bias in dual-frequency capacitively coupled plasmas (dc-superposed (DS)-CCP) was realized for the selective etching of carbon-doped silicon oxide (SiOCH) films over carbon-doped amorphous silicon (SiC) films, while the dc bias exceeded about -800 V. When a dc bias of -1200 V was superposed on 60 MHz VHF power on the top electrode opposed to a wafer on the bottom electrode biased with 13.56 MHz power, a selectivity of above 50 for SiOCH over SiC was obtained. From characterization of the plasma density and various chemical species in the gaseous phase, such as CF(2), CF and atomic N, the density of CF(2) significantly decreased with the application of dc bias ranging from -800 to -1200V. This indicated that CF(2) radicals were consumed at the surface of the counter electrode which was made of silicon. The bulk densities of the species including CF(2) were decreased, especially due to excess surface loss caused by the bombardment of highly energetic ions accelerated by the superposed dc bias, as well as the rf sheath for the superposition of the negative dc bias. The DS-CCP technology is thus concluded to be indispensable for yielding highly selective etching of SiOCH over SiC.

    DOI: 10.1088/0022-3727/45/2/025203

  • Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, Mineo Hiramatsu

    APPLIED PHYSICS LETTERS   2011年11月

     詳細を見る

    記述言語:英語  

    The electronic and crystal structures of carbon nanowalls (CNWs) were analyzed using synchrotron x-rays. Although they have branchless graphene sheets with high crystallinities comparable to those of highly oriented pyrolytic graphite, they also have small amount of fluorine atoms and slightly large interlayer spacing of basal plane. Soft x-ray emission spectra indicate that CNWs have similar but not identical electronic structures to HOPG. Such the chemical and crystallographic structures might be one of factors to induce characteristic electrical features of the CNWs. (C) 2011 American Institute of Physics. [doi:10.1063/1.3659470]

    DOI: 10.1063/1.3659470

  • Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    Graphene - Synthesis, Characterization, Properties and Applications   2011年9月

     詳細を見る

    記述言語:英語  

    Nucleation and Vertical Growth of Nano-Graphene Sheets

    DOI: 10.5772/23703

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2)

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学会学術講演会講演予稿集(CD-ROM)   2011年8月

     詳細を見る

    記述言語:日本語  

    プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2)

  • Impacts of CF+, CF2+, CF3+, and Ar Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist

    Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011年8月

     詳細を見る

    記述言語:英語  

    Photoresists used in advanced ArF-excimer laser lithography are not tolerant enough for plasma etching processes. Degradation of photoresists during etching processes might cause not only low selectivity, but also line edge roughness (LER) on the sidewalls of etched patterns. For a highly accurate processing, it is necessary to understand the mechanisms of etching photoresists and to construct a new plasma chemistry that realizes a nano scale precise pattern definition. In this study, the modified layers formed on the surface of a photoresist by the bombardment of fluorocarbon ions of CF+, CF2+, and CF3+, and argon (Ar) ions were analyzed by X-ray photoelectron spectroscopy (XPS). The etching yield of the modified steady-state surface was almost dependent on the mass of incident ion species. The surface composition was modified with increasing dosage of each ion species, and reached a specific steady state that was dependent on the ion species. The bombardment of F-rich ion species such as CF2+ and CF3+ resulted in the formation of not only fluorocarbon layers, but also graphite like structures on the surface. On the basis of these results, the surface reaction for the ion-beam-induced modification was discussed. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.08JE05

  • Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

    Kenji Ishikwa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Physical Chemistry   2011年7月

     詳細を見る

    記述言語:英語  

    Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

  • Reactive Ion Etching of Carbon Nanowalls

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki, Hiroyuki Kano, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011年7月

     詳細を見る

    記述言語:英語  

    Two-dimensionally standing graphene sheets, i.e., carbon nanowalls (CNWs), were synthesized on a Si substrate employing a capacitively coupled fluorocarbon plasma-enhanced chemical vapor deposition system together with H radical injection. To apply CNWs in electronic devices and/or membrane filters, we have demonstrated the reactive ion etching (RIE) of CNWs. RIE employing H-2/N-2 gases showed that the CNW films were anisotropically etched at a relatively high rate of more than 250 nm/min. However, the 10-nm-thick interface layer between a CNW film and the Si substrate remained and the interface layer was not completely etched. In contrast, RIE employing Ar/H-2 gases enabled us to completely remove the interface layer. Ar/H-2 RIE was also carried out from the bottom surface of CNW films after exfoliating them from the Si substrate. As a result, a free-standing CNW film of 550 nm thickness without an interface layer as a membrane filter was successfully formed. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.075101

  • Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

    SOLID-STATE ELECTRONICS   2011年6月

     詳細を見る

    記述言語:英語  

    We have demonstrated the control of interfacial properties of Pr-oxide/Ge gate stack structure by the introduction of nitrogen. From C-V characteristics of Al/Pr-oxide/Ge3N4/Ge MOS capacitors, the interface state density decreases without the change of the accumulation capacitance after annealing. The TEM and TED measurements reveal that the crystallization of Pr-oxide is enhanced with annealing and the columnar structure of cubic-Pr2O3 is formed after annealing. From the depth profiles measured using XPS with Ar sputtering for the Pr-oxide/Ge3N4/Ge stack structure, the increase in the Ge component is not observed in a Pr-oxide film and near the interface between a Fr-oxide film and a Ge substrate. In addition, the N component segregates near the interface region, amorphous Pr-oxynitride (PrON) is formed at the interface. As a result, Pr-oxide/PrON/Ge stacked structure without the Ge-oxynitride interlayer is formed. (C) 2011 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2011.01.029

  • 液中プラズマを用いたナノグラフェンの高速合成技術 (特集 「グラフェン」の実務的な視点での開発トレンド)

    近藤博基, 加納 浩之, 堀 勝

    マテリアルステージ 11(2)   2011年5月

     詳細を見る

    記述言語:日本語  

  • Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano

    APPLIED PHYSICS LETTERS   2011年5月

     詳細を見る

    記述言語:英語  

    An ultrahigh density over 10(13) cm(-2) of 2 nm diameter Pt nanoparticles was obtained by metal-organic chemical supercritical fluid deposition over the entire surface of vertically standing stacked graphene sheets (carbon nanowalls) on a substrate. The correlation between the surface defect density of graphene sheets and the density of Pt nanoparticles were investigated to clarify the support mechanism. The density of Pt nanoparticles increased with increase in the surface defect density. In addition, the semispherical cross-sectional shape of the nanoparticles indicated nucleation at the surface defects on the graphene sheets (98/100). (C) 2011 American Institute of Physics. [doi: 10.1063/1.3583672]

    DOI: 10.1063/1.3583672

  • Radical-controlled plasma processing for nanofabrication

    Masaru Hori, Hiroki Kondo, Mineo Hiramatsu

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   2011年5月

     詳細を見る

    記述言語:英語  

    Nanofabrication processes employing reactive plasma, such as etching and deposition, were discussed in this paper on the basis of knowledge of reactive species in the plasma. The processing characteristics were studied based on the absolute density measurements of radicals and ions. In the case of organic low-k film etching employing N-H plasma, H and N radicals have different roles from each other; the H radicals contribute to the chemical etching, while the N radicals form the protection layer. Therefore, the ratio of H and N radical densities is an important factor for determining the etching performance. Furthermore, the radical injection technique, an active way to control the composition of radicals in the reaction field, was successfully applied to grow carbon nanowalls, self-organized, free-standing, layered graphenes. For example, with increasing density ratio of H and fluorocarbon (CFx) radicals, the density of carbon nanowalls decreases. In addition, according to the carbon nanowalls' growth by the simultaneous irradiation of CFx radicals, hydrogen atoms and Ar ions, the ion bombardment is crucial for the nucleation and vertical growth of carbon nanowalls. Identification and characterization of radicals and ions in the processing plasma could open the way to the precise controls of nano-scale plasma processing.

    DOI: 10.1088/0022-3727/44/17/174027

  • プラズマCVD法によるカーボンナノウォールの制御合成

    近藤博基, 平松美根男, 堀 勝

    マテリアルステージ 11(2)   2011年5月

     詳細を見る

    記述言語:日本語  

  • Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy

    Z. H. Wu, Y. Kawai, Y. -Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, H. Amano

    APPLIED PHYSICS LETTERS   2011年4月

     詳細を見る

    記述言語:英語  

    In this letter, we have investigated the structural properties of thick InGaN layers grown on GaN by plasma-assisted molecular beam epitaxy, using two growth rates of 1.0 and 3.6 angstrom/s. A highly regular superlattice (SL) structure is found to be spontaneously formed in the film grown at 3.6 angstrom/s but not in the film grown at 1.0 angstrom/s. The faster grown film also exhibits superior structural quality, which could be due to the surface roughness suppression caused by kinetic limitation, and the inhibition of the Frank-Read dislocation generation mechanism within the spontaneously formed SL structure. (C) 2011 American Institute of Physics. [doi: 10.1063/1.3574607]

    DOI: 10.1063/1.3574607

  • Analysis of Local Leakage Current of Pr-Oxide Thin Films with Conductive Atomic Force Microscopy

    Masaki Adachi, Yuzo Kato, Kimihiko Kato, Mitsuo Sakashita, Hiroki Kondo, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011年4月

     詳細を見る

    記述言語:英語  

    We have investigated the local leakage current in Pr-oxide thin films formed on Si(001) and Si(111) substrate by conductive atomic force microscopy. In Pr-oxide films formed on Si(111) substrates, many current leakage spots are observed in current images compared to that on Si(001) substrates. We found that the current conduction mechanism in Pr-oxide films is considered a Poole-Frenkel conduction. The X-ray photoelectron spectroscopy analysis revealed that cubic Pr2O3 is preferentially formed near the Pr-oxide/Si(111) interface compared with Si(001) samples. We also found that O-2 annealing effectively reduces the leakage current in Pr-oxide films. It is considered that oxygen vacancies in Pr-oxide dominate the leakage current of oxide thin films. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.04DA08

  • Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr Oxide/PrON/Ge Gate Stack Structure

    Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011年4月

     詳細を見る

    記述言語:英語  

    In this study, we investigated the valence state and chemical bonding state of Pr in a Pr oxide/PrON/Ge structure. We clarified the relationship between the valence state of Pr and the Pr oxide/Ge interfacial reaction using Pr oxide/Ge and Pr oxide/PrON/Ge samples. We found the formation of three Pr oxide phases in Pr oxide films; hexagonal Pr2O3 (h-Pr2O3) (Pr3+), cubic Pr2O3 (c-Pr2O3) (Pr3+), and c-PrO2 (Pr4+). We also investigated the effect of a nitride interlayer on the interfacial reaction in Pr oxide/Ge gate stacks. In a sample with a nitride interlayer (Pr oxide/PrON/Ge), metallic Pr-Pr bonds are also formed in the c-Pr2O3 film. After annealing in H-2 ambient, the diffusion of Ge into Pr oxide is not observed in this sample. Pr-Pr bonds probably prevent the interfacial reaction and Ge oxide formation, considering that the oxygen chemical potential of this film is lower than that of a GeO2/Ge system. On the other hand, the rapid thermal oxidation (RTO) treatment terminates the O vacancies and defects in c-Pr2O3. As a result, c-PrO2 with tetravalent Pr is formed in the Pr oxide/PrON/Ge sample with RTO. In this sample, the leakage current density is effectively decreased in comparison with the sample without RTO. Hydrogen termination works effectively in Pr oxide/PrON/Ge samples with and without RTO, and we can achieve an interface state density of as low as 4 x 10(11) eV(-1) .cm(-2). (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.04DA17

  • プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学関係連合講演会講演予稿集(CD-ROM)   2011年3月

     詳細を見る

    記述言語:日本語  

    プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析

  • Electron field emission enhancement of carbon nanowalls by plasma surface nitridation

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, Masaru Hori

    APPLIED PHYSICS LETTERS   2011年3月

     詳細を見る

    記述言語:英語  

    Carbon nanowalls (CNWs) are two-dimensional carbon nanostructures consisting of stacked graphene sheets standing vertically on the substrate. The sharp edges of CNWs provide us with opportunities for applications as electron field emitter arrays. The effects of nitrogen plasma (NP) treatment on the surface of CNWs have been investigated in order to improve the electron field emission properties. The electron emission current from the edges of CNWs was drastically increased by the NP treatment. Morphological and chemical changes in the CNWs after the NP treatment were characterized using scanning electron microscopy, Raman spectroscopy, and x-ray photoelectron spectroscopy. (C) 2011 American Institute of Physics. [doi:10.1063/1.3532114]

    DOI: 10.1063/1.3532114

  • Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori

    Applied Physics   2011年1月

     詳細を見る

    記述言語:英語  

    Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011年1月

     詳細を見る

    記述言語:英語  

    For an innovation of molecular-beam-epitaxial (MBE) growth of gallium nitride (GaN), the measurements of absolute densities of N, H, and NH3 at the remote region of the radical source excited by plasmas have become absolutely imperative. By vacuum ultraviolet absorption spectroscopy (VUVAS) at a relatively low pressure of about 1 Pa, we obtained a N atom density of 9 x 10(12) cm(-3) for a pure nitrogen gas used, a H atom density of 7 x 10(12) cm(-3) for a gas composition of 80&#37; hydrogen mixed with nitrogen gas were measured. The maximum density 2 x 10(13) cm(-3) of NH3 was measured by quadruple mass spectrometry (QMS) at H-2/(N-2 + H-2) = 60&#37;. Moreover, we found that N atom density was considerably affected by processing history, where the characteristic instability was observed during the pure nitrogen plasma discharge sequentially after the hydrogen-containing plasma discharge. These results indicate imply the importance of establishing radical-based processes to control precisely the absolute densities of N, H, and NH3 at the remote region of the radical source. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.01AE03

  • Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2011年1月

     詳細を見る

    記述言語:英語  

    For an innovation of molecular-beam-epitaxial (MBE) growth of gallium nitride (GaN), the measurements of absolute densities of N, H, and NH3 at the remote region of the radical source excited by plasmas have become absolutely imperative. By vacuum ultraviolet absorption spectroscopy (VUVAS) at a relatively low pressure of about 1 Pa, we obtained a N atom density of 9 x 10(12) cm(-3) for a pure nitrogen gas used, a H atom density of 7 x 10(12) cm(-3) for a gas composition of 80&#37; hydrogen mixed with nitrogen gas were measured. The maximum density 2 x 10(13) cm(-3) of NH3 was measured by quadruple mass spectrometry (QMS) at H-2/(N-2 + H-2) = 60&#37;. Moreover, we found that N atom density was considerably affected by processing history, where the characteristic instability was observed during the pure nitrogen plasma discharge sequentially after the hydrogen-containing plasma discharge. These results indicate imply the importance of establishing radical-based processes to control precisely the absolute densities of N, H, and NH3 at the remote region of the radical source. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.01AE03

  • In Situ Electron Spin Resonance Study for Plasma Surface Interactions

    K. Ishikawa, N. Sumi, A. Kono, HORIBE,HIDEO, K. Takeda, H. Kondo, M. Sekine, M. Hori

    7th International Conference on Reactive Plasmas   2010年10月

     詳細を見る

    記述言語:その他  

  • 和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

    石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    応用物理学会学術講演会講演予稿集(CD-ROM)   2010年8月

     詳細を見る

    記述言語:日本語  

    和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

  • リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝

    応用物理学会学術講演会講演予稿集(CD-ROM)   2010年8月

     詳細を見る

    記述言語:日本語  

    リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

  • 原子層堆積法により形成したPrAlOの結晶構造および電気的特性

    古田 和也, 竹内 和歌奈, 坂下 満男, 近藤 博基, 中塚 理, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2010年6月

     詳細を見る

    記述言語:日本語  

    本研究ではSi基板上にPr(EtCp)_3,トリメチルアルミニウム(TMA)およびH_2Oを用いた原子層堆積(ALD)法を用いてPrAlO(PAO)膜を形成し,その電気的特性を明らかにした.X線光電子分光(XPS)法から,膜中に界面反応を引き起こしたSiが表面付近に偏析していることが分かり,Al導入によってそれが減少していることが分かった.このことは,Al導入によって界面反応が抑制されていることを示唆している.一方,Deep level transient spectroscopy (DLTS)の結果,Al導入によって浅い準位において界面準位密度が減少した.従って,浅い準位の界面準位密度は,界面反応に起因していると推測される.Alの導入によって界面反応の制御が可能であることを明らかにした.

  • Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry 査読

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   2010年6月

     詳細を見る

    記述言語:英語  

    Carbon nanowalls (CNWs), vertically standing graphene sheets, grown by the radical injection plasma-enhanced chemical vapor deposition system were analyzed by spectroscopic ellipsometry. The refractive indexes (n), extinction coefficients (k), and optical band gaps (E(g)) of evolutionary growth layers were evaluated using the Tauc-Lorentz model with the effective medium approximation. It was observed that an amorphous carbon interfacial layer with n of 1.9-2.0 was formed prior to the growth of CNWs with n of 1.2-1.5. Moreover, the imaginary parts of complex dielectric functions analyzed using the Tauc-Lorentz model indicate the possibility that the CNWs have semiconducting features. (C) 2010 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.49.060220

  • Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor 査読

    Hiroki Kondo, Shinnya Sakurai, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    APPLIED PHYSICS LETTERS   2010年1月

     詳細を見る

    記述言語:英語  

    Praseodymium (Pr) oxide films were grown by metal-organic chemical-vapor-deposition (CVD) using Pr(EtCp)(3). Using H2O as an oxidant, Pr2O3 films with columnar structures are formed and its C concentration can be reduced to about one-tenth compared with the case using O-2. Activation energy of 0.37 eV is derived for this CVD using H2O. This CVD-Pr oxide film deposited at 300 degrees C has a dielectric constant of 26 +/- 3. Furthermore, conduction band offset of 1.0 +/- 0.1 eV and trap levels of 0.40 +/- 0.02 and 0.22 +/- 0.02 eV in the CVD-Pr2O3/Si structure were also determined by current conduction characteristics.

    DOI: 10.1063/1.3275706

  • Formation processes of Ge3N4 films by radical nitridation and their electrical properties 査読

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Shigeaki Zaima

    THIN SOLID FILMS   2010年1月

     詳細を見る

    記述言語:英語  

    Formation processes of Ge3N4 by radical nitridation and electrical properties of Pr-oxide/Ge3N4/Ge structure were investigated. Stoichiometric Ge3N4 is Successfully formed by the radical nitridation at temperatures from 50 to 600 degrees C. Change in the nitridation temperature dependence of the saturated thickness of the Ge3N4 suggests different dominant diffusion species. Leakage current density through the Ge3N4 is minimized at a nitridation temperature of 300 degrees C. The XPS analyses of the Pr-oxide/Ge3N4/Ge suggest decomposition of Ge3N4 during atomic layer deposition of the Pr-oxide and formation of Pr-oxynitride at the Pr-oxide/Ge interface. An interface state density in the Al/Pr-oxide/Ge3N4/Ge capacitor is drastically reduced by forming gas annealing. (C) 2009 Published by Elsevier B.V.

    DOI: 10.1016/j.tsf.2009.10.094

  • Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units

    Yuichi Setsuhara, Ken Cho, Kosuke Takenaka, Akinori Ebe, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    THIN SOLID FILMS   2009年12月

     詳細を見る

    記述言語:英語  

    Plasma surface treatment of polymers has been carried out with argon/oxygen mixture plasmas driven by multiple low-inductance antenna units. Kinetic energy distribution of argon ions from the argon/oxygen mixture plasmas onto polymers showed considerable suppression of ion energies sufficiently less than 10 eV. Polyethyleneterephthalate (PET) films were exposed to argon/oxygen mixture plasma for 1-5 min on a water-cooled substrate holder. The etching depth of PET surface increased with increasing plasma-exposure time and the etching rate was 118 nm/min. Surface roughness of PET surface (root-mean-square value) increased from 0.5 nm to 2.7 nm with increasing plasma-exposure time from 0 min (original sample) to states of the PET surface. The HXPES analyses exhibited nano-surface modification of the PET surface without suffering degradation of molecular structures beneath. (C) 2009 Elsevier B.V. all rights reserved.

    DOI: 10.1016/j.tsf.2009.07.161

  • Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units 査読

    Yuichi Setsuhara, Ken Cho, Kosuke Takenaka, Akinori Ebe, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    THIN SOLID FILMS   2009年12月

     詳細を見る

    記述言語:英語  

    Plasma surface treatment of polymers has been carried out with argon/oxygen mixture plasmas driven by multiple low-inductance antenna units. Kinetic energy distribution of argon ions from the argon/oxygen mixture plasmas onto polymers showed considerable suppression of ion energies sufficiently less than 10 eV. Polyethyleneterephthalate (PET) films were exposed to argon/oxygen mixture plasma for 1-5 min on a water-cooled substrate holder. The etching depth of PET surface increased with increasing plasma-exposure time and the etching rate was 118 nm/min. Surface roughness of PET surface (root-mean-square value) increased from 0.5 nm to 2.7 nm with increasing plasma-exposure time from 0 min (original sample) to states of the PET surface. The HXPES analyses exhibited nano-surface modification of the PET surface without suffering degradation of molecular structures beneath. (C) 2009 Elsevier B.V. all rights reserved.

    DOI: 10.1016/j.tsf.2009.07.161

  • Novel Method to Introduce Uniaxial Tensile Strain in Ge by Microfabrication of Ge/Si1-xGex Structures on Si(001) Substrates 査読

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, M. Ogawa, S. Zaima

    Solid-State Electronics   2009年9月

     詳細を見る

    記述言語:英語  

    We have proposed a novel method to form by microfabrication a uniaxial tensile strained Ge layer due to the elastic strain relaxation of a Si1-xGex buffer layer on a Si(0 0 1) substrate. A fully strain-relaxed Ge layer on a compressive strained Si0.60Ge0.40 was epitaxially grown on Si(0 0 1) substrate and striped mesa lines were fabricated with a line width of 250 nm along the [1 1 0] direction. The strain of the Si0.60Ge0.40 layer was found to be elastically relaxed only along the direction perpendicular to the lines and a uniaxial tensile strained Ge layer was thus formed. The value of tensile strain of the Ge layer is estimated to be 0.25&#37;.

  • ラジカル窒化法による High-k/Ge 界面構造制御

    加藤 公彦, 近藤 博基, 坂下 満男, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2009年6月

     詳細を見る

    記述言語:日本語  

    高移動度Geチャネルを有するmetal-oxide-semiconductor(MOS)型トランジスタの実現に向け、熱処理や溶液処理に対してロバストな高誘電率金属酸化膜/Ge構造の構築が求められている。本研究では、高誘電率金属酸化膜/Ge構造における界面層として期待されるGe_3N_4膜に関し、ラジカル窒化法による形成過程を明らかにし、更にPr酸化膜/Ge_3N_4/Ge構造の電気的特性を評価した。ラジカル窒化法では、50-600℃の広い温度範囲でStoichiometryなGe_3N_4が形成された。一方、飽和膜厚の窒化温度依存性は窒化温度によって異なり、Ge_3N_4形成に支配的な拡散種が異なると考えられる。またAu/Ge_3N_4/Ge構造におけるリーク電流密度は、窒化温度300℃において最も小さいことがわかった。ラジカル窒化法と原子層堆積法によってPr酸化膜/Ge_3N_4/Ge構造を作製した場合、Pr酸化膜/Ge界面にはPr酸窒化膜が形成される。またAl/Pr酸化膜/Ge_3N_4/Ge MOSキャパシタでは、H_2およびN_2雰囲気での熱処理によって界面準位密度が大幅に減少することが分かった。

  • LaAlO/Ge構造へのALD-Al_2O_3界面制御層挿入の効果

    坂下 満男, 加藤 亮祐, 京極 真也, 近藤 博基, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2009年6月

     詳細を見る

    記述言語:日本語  

    GeチャネルMOSFETは高速動作および低電圧動作デバイスとして期待され、また一方で、high-k材料によるゲート絶縁膜はEOTの低減に有効であり、high-k/Ge構造のMOSFETは次世代デバイスとして有望視されている。しかしながら、high-kゲート絶縁膜とGe基板との界面反応によって、ゲート絶縁膜の誘電率は低下し、また、界面準位密度は増加する。そこで、high-kゲート絶縁膜とGe基板との界面にALD法によって形成した極薄のAl_2O_3界面制御層を挿入し、その効果について検討した。なお、本研究ではhigh-kゲート絶縁膜としてLaAlO膜を用いた。厚さ1nm以下のAl_2O_3界面制御層によってGe界面での界面反応は効果的に抑制でき、界面反応によって形成されたGe-oxide層はAl_2O_3界面制御層の厚さの増加とともに減少することが分かった。また、0.4nm程度の厚さのAl_2O_3界面制御層において界面準位密度の低減効果を確認した。さらに、600℃の熱処理に対しても構造は変化せず、熱的にも安定であることが分かった。

  • Pr(EtCp)_3を用いた原子層堆積法によるPr酸化膜の形成

    近藤 博基, 古田 和也, 松井 裕高, 坂下 満男, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2009年6月

     詳細を見る

    記述言語:日本語  

    Pr(EtCp)_3を用いた原子層堆積法(ALD)によるPr酸化膜の成長手法について研究を行い,ウェハー面内での膜厚ばらつきが2&#37;以下であるPr酸化膜のALD成長を実現した.同ALDでは主として立方晶のPr_2O_3が形成したが,Si(100)基板上のPr_2O_3膜が多結晶構造であるのに対し,Si(111)基板上では立方晶Pr_2O_3がエピタキシャル成長することがわかった.一方,Al/ALD-Pr_2O_3/Si(100)およびAl/ALD-Pr_2O_3/Si(111)MOSキャパシタの容量-電圧特性によれば,ALDによって成長した立方晶Pr_2O_3の比誘電率は12.3~16.8であった.電子銃蒸着法や化学気相蒸着法(CVD)で成長したPr酸化膜の結晶構造および成長条件との比較から,ALDプロセスにおいてH_2O分圧を最適化することにより,Pr酸化膜の結晶構造が制御可能であると考えられる。

  • *Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal–Oxide–Semiconductor Capacitors 査読

    Ryosuke Kato, Shinya Kyogoku, Mitsuo Sakashita, Hiroki Kondo, Shigeaki Zaima

    Japanese Journal of Applied Physics   2009年5月

     詳細を見る

    記述言語:英語  

    Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal-Oxide-Semiconductor Capacitors
    We have investigated the interfacial control effects of the atomic layer deposition (ALD)-Al2O3 on the crystalline and electronic characteristics of LaAlO3/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopic analysis revealed that Al2O3/Ge interfaces are much more stable than LaAlO3/Ge and La2O3/Ge interfaces for the formation of Ge oxides owing to the interfacial reaction. For the LaAlO3/ALD-Al2O3/Ge structure, Al2O3 interfacial layers with thicknesses less than 1 nm effectively suppress the interfacial reaction between LaAlO3 and Ge. The thickness of Ge oxides formed at the ALD-Al2O3/Ge interface decreases with increasing Al2O3 thickness and the stack structure is thermally stable against postdeposition annealing at 600 degrees C. These results indicate that interfacial reaction mainly arises during the sputtering-LaAlO3 deposition, not the ALD-Al2O3 deposition. On the other hand, capacitance equivalent oxide thickness decreases with increasing interfacial ALD-Al2O3 thickness, because the formation of Ge oxides at the interface is effectively suppressed by ALD-Al2O3 interfacial layers. It can be concluded that ALD-Al2O3 is promising as an interfacial control layer between Ge substrates and high-k dielectrics. (C) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.05DA04

  • Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes 査読

    Hiroki Kondo, Kouhei Furumai, Mitsuo Sakashita, Akira Sakai, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2009年4月

     詳細を見る

    記述言語:英語  

    The annealing temperature and thickness dependences of electrical properties of mictamict Ti-Si-N gate metal-oxide-semiconductor (MOS) capacitors, and their relationships with the crystalline structure were investigated. The nanocrystallites in mictamict Ti-Si-N films sputtered in over 3.0&#37; N-2 ambient hardly grow even after postdeposition annealing (PDA) at temperatures below 900 degrees C. As the N-2 concentration increases up to 3&#37;, the resistivity of the Ti-Si-N films increases owing to an increase in the amount of Si3N4 components and the development of the amorphization. On the other hand, the resistivity decreases with increasing N-2 concentration above 3&#37;. This is attributed to the formation of Ti3N4 components, as revealed by X-ray photoelectron spectroscopy (XPS) analysis. The changes in resistivity and effective work function are also extremely small. Additionally, even when the Ti-Si-N film thickness is reduced to 5 nm, the Pt/Ti-Si-N stacked gate electrode maintains almost the same effective work function (4.6 eV). (C) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.04C012

  • Nitrogen-Content Dependence of Crystalline Structures and Resistivity of Hf-Si-N Gate Electrodes for Metal-Oxide-Semiconductor Field-Effect Transistors 査読

    Kazuaki Miyamoto, Kouhei Furumai, Ben E. Urban, Hiroki Kondo, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2009年4月

     詳細を見る

    記述言語:英語  

    The dependences of crystalline structures and resistivity of Hf-Si-N films on nitrogen content were investigated in this study. The nitrogen (N) content of Hf-Si-N films increases with increasing N-2 concentration in a N-2/Ar mixture ambient used in sputtering, and saturates to about 59&#37; at N-2 concentrations of 4.8&#37; and above. This indicates that all Hf and Si atoms form HfN and Si3N4 in the films, respectively. From X-ray diffraction (XRD) profiles, nanocrystallites exist even in as-deposited films with saturated N content. However, they hardly grow after post deposition annealing (PDA) at 900 degrees C. The resistivity values are almost constant at N-2 concentrations of 4.8&#37; and below. On the other hand, they significantly increase with increasing N-2 concentration above 4.8&#37; and consequently become unmeasurable at N-2 concentrations of 13.0&#37; and above. The XRD profiles indicate that nanocrystallites segregating in those films are related to Hf3N4. (c) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.045505

  • Silicide and germanide technology for contacts and gates in MOSFET applications 査読

    Shigeaki Zaima, Osamu Nakatsuka, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa

    THIN SOLID FILMS   2008年11月

     詳細を見る

    記述言語:英語  

    We report silicide and germanide technology for ohmic contacts and metal gates of MOSFETs in this paper. We have investigated the control technology of NiSi/Si contact properties by incorporating third elements such as Ge and C for future ULSI applications. The work function and resistivity of various Ni and Pt germanides have been also examined as metal gate materials. The low resistivity and tunable work function of these silicides and germanides are desirable for future CMOS devices. (c) 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.097

  • Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films 査読

    Hiroki Kondo, Tomonori Ueyama, Eiji Ikenaga, Keisuke Kobayashi, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    THIN SOLID FILMS   2008年11月

     詳細を見る

    記述言語:英語  

    High-density and similarly-sized Si nanodots were formed by annealing ultra-thin amorphous Si (a-Si) films deposited on SiO2/Si substrates in vacuum. Dependences of density and diameter of the Si nanodots on the a-Si film thickness and, annealing temperature and time were investigated by scanning electron microscopy. It is found that drastic increase (decrease) in the density (diameter) occurred at an a-Si thickness of 1 nm. By agglomeration of sub-nanometer thick a-Si films, a density larger than 10(12) cm(-2), an average diameter smaller than 5 nm, and a dispersion of diameter less than 15&#37; were achieved. (c) 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.079

  • MOCVD法によるPr酸化膜の作製およびその電気的特性評価

    近藤博基, 櫻井晋也, 酒井朗, 小川正毅, 財満鎭明

    信学技報   2008年6月

     詳細を見る

    記述言語:日本語  

  • Ge表面酸化および窒化処理と High-k ゲートスタック構造形成プロセス

    近藤 博基, 坂下 満男, 中塚 理, 小川 正毅, 財満 鎭明

    電気学会研究会資料. EFM, 電子材料研究会   2008年5月

     詳細を見る

    記述言語:日本語  

    Oxidation and radical nitridation processes of Ge surfaces and fabrication of High-k/Ge stack structures

  • Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors 査読

    Kouhei Furumai, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS   2008年4月

     詳細を見る

    記述言語:英語  

    The crystal structures and electrical characteristics of Ti-Si-N metal-oxide-semi conductor (MOS) gate electrodes in the mictamict state, which is a comprehensive term referring to amorphous structures both with and without nanocrystals, were investigated. By increasing the N-2 concentration of the sputtering ambient, the nitrogen (N) content of the Ti-Si-N films increased and consequently the Ti-Si-N films did not crystallize. At a N-2 concentration of more than 3.0&#37;, the N content of the films was almost constant at about 53&#37;, which indicates that all Ti and Si atoms deposited in the form of TiN and Si3N4, respectively. In such Ti-Si-N films with a saturated N content, only 2-3-nm-grain-size nanocrystallites formed, which were embedded in amorphous layers even after post-deposition annealing (PDA) above 900 degrees C. At the same time, with increasing N2 concentration of the sputtering ambient, the change in the film resistivity after the PDA became smaller and the capacitance equivalent thickness (CET) fluctuation gradually ceased to occur. The work function of the mictamict Ti-Si-N gate electrodes, which were deposited in 5.0&#37; N-2 ambient and annealed at 500 degrees C, was determined to be 4.6eV.

    DOI: 10.1143/JJAP.47.2420

  • シリコン表面の窒化初期過程とエネルギーバンドキャップの形成 査読

    近藤博基, 財満鎭明, 堀勝, 酒井朗, 小川正毅

    真空   2007年11月

     詳細を見る

    記述言語:日本語  

    Initial stage of processes and energy bandgap formation in nitridation of silicon surfaces using nitrogen radicals have been studied.<br />
    According to scanning tunneling microscopy observations and scanning tunneling spectroscopy measurements, at the initial stage of<br />
    nitridation, linear defects perpendicular to dimmer rows were formed to coincide with an initial nitridation reaction preferentially at<br />
    backbonds of surface Si atoms. After the nitride formation, the surface roughness depends only on substrate temperature regardless of<br />
    radio frequency (RF) power, which means that the growth mode of nitrides is attributed to the surface migration. Contrary, the energy<br />
    bandgap of silicon nitrides is signiˆcantly aŠected by not only substrate temperature but also RF power. Absorption and emission<br />
    spectroscopy results suggest that the contribution of the excited-state nitrogen atoms to the nitridation increases with increasing the<br />
    RF power. Control of surface migration and radical species is crucial to form the monolayer-thick nitride layer with both an atomically<br />
    ‰at surface and a wide energy bandgap.

  • Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors

    FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2007年9月

     詳細を見る

    記述言語:英語  

    Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors

  • CS-5-2 Si_<1-X>Ge_X/Si(001)構造における転位および歪の評価と制御技術(CS-5.異種材料融合デバイス技術,シンポジウム)

    中塚 理, 酒井 朗, 近藤 博基, 小川 正毅, 財満 鎭明

    電子情報通信学会ソサイエティ大会講演論文集   2007年8月

     詳細を見る

    記述言語:日本語  

    CS-5-2 Evaluation and Controlling Technology of Dislocation and Strains in Si_<1-x>Ge_X/Si(001) Structures

  • Composition dependence of work function in metal (Ni,Pt)-germanide gate electrodes 査読

    Daisuke Ikeno, Yukihiro Kaneko, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2007年4月

     詳細を見る

    記述言語:英語  

    The composition ratio dependence of electrical (work function and resistivity) and structural properties in metal (Ni,Pt)-germanide gate electrodes was investigated for metal-oxide-semiconductor (MOS) devices. X-ray diffraction and cross-sectional transmission electron microscopy clearly revealed that metal-germanide MOS gate electrodes with a single Ni-germanide (Ni-Ge) or Pt-germanide (Pt-Ge) phase can be formed by controlling the thicknesses of the deposited metal and Ge. The resistivities of both Ni-Ge and Pt-Ge were lower than that of conventional polycrystalline silicon (poly-Si) gate electrodes. From the capacitance-voltage characteristics, the work functions of the Ni-Ge gate electrodes were measured to be from 4.6 to 4.9 eV, and those of the Pt-Ge gate electrodes were from 4.9 to 5.3 eV. With increasing metal (Ni,Pt) content, the work function of Ni-Ge decreased, while that of Pt-Ge increased. This composition dependence of the work function of the metal-germanide can be explained by considering the electronegativity of the pure metals that are often used.

    DOI: 10.1143/JJAP.46.1865

  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates 査読

    Shogo Mochizuki, Akira Sakai, Osamu Nakatsuka, Hiroki Kondo, Katsunori Yukawa, Koji Izunome, Takeshi Senda, Eiji Toyoda, Masaki Ogawa, Shigeaki Zaima

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   2007年1月

     詳細を見る

    記述言語:英語  

    We have investigated dislocation morphology and strain relaxation mechanisms of SiGe and Ge sub-micron wide striped mesa lines patterned on Si(0 0 1) substrates. The patterning of SiGe and Ge layers principally leads to asymmetric elastic strain relaxation. Post-patterning anneal induces 60 degrees dislocation introduction to relax the strain but the narrower the line width the more dominant is the elastic strain relaxation. In the case of 250 nm wide SiGe lines, 60 degrees dislocation introduction along the line is critically suppressed so that asymmetric strain distribution is realized. On the other hand, for the Ge line structure, pre-formed pure edge dislocations elongate along both orthogonal directions at the heterointerface independent of the line geometry even with the line width of 250 nm. Thus strain relaxation occurs symmetrically and rigidly. These results can be explained by deference of the introduction and propagation mechanisms of 60 degrees and pure-edge dislocations.

    DOI: 10.1088/0268-1242/22/1/S31

  • Growth and energy bandgap formation of silicon nitride films in radical nitridation 査読

    Hiroki Kondo, Keigo Kawaai, Akira Sakai, Masaru Hori, Shigeaki Zaima, Yukio Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2007年1月

     詳細を見る

    記述言語:英語  

    The surface profiles and energy bandgap of sub-nanometer-thick silicon nitride layers, which were formed by radical nitridation, were analyzed by scanning tunneling microscopy (STM) and scanning tunneling spectroscopy (STS). According to the STM results, the surface roughness of the nitride layers depends only on substrate temperature regardless of radio frequency (RF) power, which indicates that the growth mode of the silicon nitride layer in radical nitridation is attributed to the surface silicon atom migration but does not depend on the types of nitrogen radical. In contrast, STS spectra show that the energy bandgap of the silicon nitride layer is significantly changed with not only substrate temperature but also RF power. The densities of nitrogen radicals were analyzed by absorption and emission spectroscopy, which suggests that the contribution of the excited-state nitrogen atoms to radical nitridation increases as RF power increases. The monolayer-thick nitride layer with both an atomically flat surface and a wide energy bandgap can be formed under appropriate conditions, because they are limited by different thermaly activated features.

    DOI: 10.1143/JJAP.46.71

  • Composition Dependence of Work Function in Metal (Ni, Pt)-Germanide Gate Electrodes

    IKENO Daisuke, FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2006年9月

     詳細を見る

    記述言語:英語  

    Composition Dependence of Work Function in Metal (Ni, Pt)-Germanide Gate Electrodes

  • Sub‐100nmゲート領域におけるNiシリサイド形成反応の観察

    伊東大介, 酒井朗, 中塚理, 近藤博基, 赤坂泰志, 奈良安雄, 小川正毅, 財満鎮明

    応用物理学関係連合講演会講演予稿集   2006年3月

     詳細を見る

    記述言語:日本語  

    Sub‐100nmゲート領域におけるNiシリサイド形成反応の観察

  • Initial growth process of TiN films in ultrahigh-vacuum rapid thermal chemical vapor deposition 査読

    Y Okuda, S Naito, O Nakatsuka, H Kondo, T Okuhara, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2006年1月

     詳細を見る

    記述言語:英語  

    We have investigated an initial stage of titanium nitride (TiN) growth on SiO2 Substrates by ultrahigh-vacuum chemical vapor deposition with TiCl4 and NH3 as source materials. The behaviors of nucleation and grain growth of TiN have been clarified by atomic force microscopy and transmission electron microscopy. It was found that TiN film formation at ail initial stage consists of three stages. which are characteristic of the lateral and subsequent vertical growth processes of grains. Deposition time dependence of the lateral growth of TiN grains clearly indicates that a process at 550 degrees C is limited by the surface reaction, irrespective of the TiCl4 flow rate and a pretreatment for the substrates before the deposition. The pretreatment affects in the generation of additional nucleation sites on the SiO2 surface but does not affect the mechanisms of nucleation and Grain Growth.

    DOI: 10.1143/JJAP.45.49

  • Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy 査読

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2005年10月

     詳細を見る

    記述言語:英語  

    We have studied the processes of local degradation through current leakage leading to breakdown in gate SiO2 films by conductive atomic force microscopy (C-AFM). Electrical stress was applied to the SiO2 films in the form of metal-oxide-semiconductor capacitors. Leakage current spots caused by holes trapped at stress-induced defects appeared in current images of the stressed SiO2 films. During the C-AFM observation at the same area with a high electric field, currents at these leakage spots gradually increased and the breakdown finally occurred at these sites, whereas at background regions other than the leakage spots, leakage currents gradually decreased. In contrast, in the case of nonstressed SiO2 films, the breakdown occurs without showing any predictive signs. Degradation and breakdown mechanisms depending on the stress condition are discussed.

    DOI: 10.1143/JJAP.44.7582

  • Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2005年10月

     詳細を見る

    記述言語:英語  

    We have studied the processes of local degradation through current leakage leading to breakdown in gate SiO2 films by conductive atomic force microscopy (C-AFM). Electrical stress was applied to the SiO2 films in the form of metal-oxide-semiconductor capacitors. Leakage current spots caused by holes trapped at stress-induced defects appeared in current images of the stressed SiO2 films. During the C-AFM observation at the same area with a high electric field, currents at these leakage spots gradually increased and the breakdown finally occurred at these sites, whereas at background regions other than the leakage spots, leakage currents gradually decreased. In contrast, in the case of nonstressed SiO2 films, the breakdown occurs without showing any predictive signs. Degradation and breakdown mechanisms depending on the stress condition are discussed.

    DOI: 10.1143/JJAP.44.7582

  • 超高密度・極微細シリコンナノドットの形成技術とメモリ特性 (特集1 次世代不揮発メモリーの開発・高集積化とその市場)

    財満 鎭明, 内藤 慎哉, 近藤 博基

    マテリアルステージ   2005年6月

     詳細を見る

    記述言語:日本語  

  • 電流注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 査読

    世古明義, 渡辺行彦, 近藤博基, 酒井朗, 財満鎭明, 安田幸夫

    電子情報通信学会論文誌   2004年10月

     詳細を見る

    記述言語:日本語  

  • Detection and characterization of stress-induced defects in gate SiO2 films by conductive atomic force microscopy 査読

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004年7月

     詳細を見る

    記述言語:英語  

    We have demonstrated the detection of manometer-scale current-leakage sites in electrically stressed gate SiO2 films using a conductive atomic force microscope (C-AFM). Prior to C-AFM observations, the gate SiO2 films in metal-oxide-semiconductor capacitors were subjected to constant-current Fowler-Nordheim (FN) stress. Details of image contrasts and the relationship between the surface topography and the current image of the SiO2 films were examined. Two types of contrast were clearly observed in the current image: a sharp bright spot reflecting local current leakage were caused by hole trapping at stress-induced defects and a fuzzy bright contrasts originating from the SiO2 thickness fluctuation. The dependence of C-AFM images on the electron injection direction during FN stress application and the SiO2 film thickness clearly reveals that the stress-induced defects are distributed in the region within 2.6 nm from the SiO2/Si substrate interface.

    DOI: 10.1143/JJAP.43.4679

  • Behavior of local current leakage in stressed gate SiO2 films analyzed by conductive atomic force microscopy

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004年7月

     詳細を見る

    記述言語:英語  

    We studied local leakage currents induced in stressed gate SiO2 films and their time dependence by conductive atomic force microscopy (C-AFM). The current-voltage characteristics of the leakage currents detected in the C-AFM observations indicate Fowler-Nordheim tunneling currents enhanced by holes trapped in the stressed SiO2 films. By repeated C-AFM observations at the same area, it was found that individual spot currents decrease at different rates. This result indicates hole detrapping with different time constants from the stress-induced defects that have different features.

    DOI: 10.1143/JJAP.43.4683

  • 電流検出型原子間力顕微鏡を用いたゲート絶縁膜の局所リーク電流評価

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2004年6月

     詳細を見る

    記述言語:日本語  

    電流検出型原子間力顕微鏡法(Conductive Atomic Force Microscopy : C-AFM)を用いて、ゲート絶縁膜における局所リ-ク電流をナノスケール観察し、絶縁膜の信頼性劣化機構を解明した。MOSキャパシタにおいてストレス印加したゲートSiO_2膜をC-AFM観察したところ、電流像に局所なりーク電流スポットを観測がされ、その電流-電圧特性の解析などからストレス誘起欠陥に起因する局所リーク電流であることがわかった。更に、ストレス誘起欠陥における電荷充放電現象とマクロなデバイス特性劣化との相関、局所リーク電流と絶縁破壊現象との関連が明らかになった。また、高誘電率絶縁膜において、電流リークパスと結晶構造との相関を明らかにした。

  • Growth of silicon nanocrystal dots with high number density by ultra-high-vacuum chemical vapor deposition 査読

    S Naito, M Satake, H Kondo, M Sakashita, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004年6月

     詳細を見る

    記述言語:英語  

    Si nanocrystal growth using an amorphous Si (a-Si) layer pre-deposited on a SiO2 surface has been performed by ultra-high-vacuum chemical vapor deposition (UHV-CVD). It has been shown that high-density Si nanocrystals are formed by Si2H6 irradiation on to an a-Si surface and that Si atoms supplied from the a-Si layer contribute to the growth of Si nanocrystals. By changing the thickness of the a-Si layer, the number density and size of Si nanocrystals can be controlled systematically. A number density of 1.0 x 10(12) cm(-2) and an average diameter of 9.1 nm were achieved for Si nanocrystals on the SiO2/Si substrate in the case of using a 0.3-nm-thick a-Si layer. Si nanocrystals were successfully buried in a SiO2 matrix by post deposition processes and their diameters were found to range from 6 to 10 nm.

    DOI: 10.1143/JJAP.43.3779

  • ラジカル窒化過程におけるエネルギーバンドギャップ形成機構のSTM/STS解析

    近藤 博基, 河合 圭吾, 宮崎 香代子, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2004年6月

     詳細を見る

    記述言語:日本語  

    次世代ゲート絶縁膜として期待されるシリコン窒化膜について、ラジカル窒化法における初期成膜過程をSTMならびにSTSによって解析した。ラジカル窒化過程では、成膜様式(層状成長または島状成長)は窒化種に依存せず、成膜温度のみに依存するが、エネルギーバンドギャップはラジカルガンの高周波電源電力の変化に伴う窒化種の変化に強く依存することがわかった。原子レベルで平坦で、大きなエネルギーバンドギャップを持つラジカル窒化膜の形成には、窒化種の制御が重要であることがわかった。

  • Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO2 gate films 査読

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   2004年4月

     詳細を見る

    記述言語:英語  

    We have investigated microscopically the current leakage characteristics of SiO2 gate films in metal-oxide-semiconductor structure capacitors subjected to the Fowler-Nordheim (FN) constant current stress using a conductive atomic force microscope (C-AFM). Current images of C-AFM clearly reveal the leakage current spots in the samples in which the stress induced leakage current was confirmed by the macroscopic current-voltage (I-V) measurement. On the other hand, in the sample after the repeated macroscopic I-V measurement, there is a shift in threshold voltage for the appearance of current spots and its value directly corresponds to the voltage shift observed in the macroscopic capacitance-voltage measurements for this sample. The total number of current spots observable in the C-AFM scanned area critically depends on the substrate voltage: the spot number initially increases with the voltage to a certain value then decreases. The visibility of the current spot is well explained by the holes trapped locally at defect sites created in the stressed SiO2.

    DOI: 10.1143/JJAP.43.1843

  • Microscopic Analysis of Stress-Induced Leakage Current in Stressed Gate SiO2Films Using Conductive Atomic Force Microscopy 査読

    Watanabe Yukihiko, Seko Akiyoshi, Kondo Hiroki, Sakai Akira, Zaima Shigeaki, Yasuda Yukio

    Japanese Journal of Applied Physics   2004年1月

     詳細を見る

    記述言語:英語  

    We have developed a method of microscopically analyzing the degradation of gate SiO2 films in actual metal-oxide-semiconductor (MOS) devices by conductive atomic force microscopy (C-AFM). In C-AFM images of electrically stressed SiO2 films, leakage current spots on a nanometer scale were successfully observed. The observed current spots show characteristic behaviors similar to the transient stress-induced leakage current which can be detected by macroscopic electrical measurements using MOS capacitors. The appearance of the current spots is discussed on the basis of the mechanism by which holes are trapped and detrapped by stress-induced defects in SiO2 films.

    DOI: 10.1143/jjap.43.l144

  • 電子注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 : ゲート絶縁膜劣化機構の微視的評価

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   2003年6月

     詳細を見る

    記述言語:日本語  

    電流検出型原子間力顕微鏡法(Conducting Atomic Force Microscopy :C-AFM)を用いて、Metal-Oxide-Semiconductor(MOS)キャパシタで観測される絶縁膜劣化を、ナノスケールで直接観察する手法を開発した。定電流ストレスを印加したシリコン酸化膜を本手法によって観察した結果、Transient Stress-Induced Leakage Current (Transient-SILC)と考えられる局所リーク電流スポットが観測された。これにより、ストレス誘起される膜中欠陥の局所性と分布、それらに起因した局所的なリーク伝導機構が実験的に明らかになった。

  • Coulomb blockade phenomena in Si metal-oxide-semiconductor field-effect transistors with nano-scale channels fabricated using focused-ion beam implantation 査読

    H Kondo, K Izumikawa, M Sakurai, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   1999年12月

     詳細を見る

    記述言語:英語  

    We have fabricated Si metal-oxide-semiconductor held-effect transistors of small dimensions using focused-ion-beam (FIB) implantation and SiO2 implantation masks with the width of 63-118 nm and have investigated the Coulomb blockade phenomena in these devices. The source and drain regions are formed by FIB implantation with a beam diameter of about 100 nm and the effective channel length is estimated to be 27-82 nm. Periodic oscillations of conductance, which are considered to be Coulomn blockade osillations, are observed at temperatures below 13 K. The measured oscillation period of V-G is 1.2-3.1 V and the gate capacitance is estimated to be 0.053-0.14aF for different channel lengths. Furthermore, it is found the the oscillation period of V-G increases as the channel length increases, which indicates that the dot radius decreases with increasing channel length. Large negative magnetoresistance is distinctly observed at the top of oscillation peaks and, on the other hand, only weak magnetoresistance is obtained at the bottoms.

    DOI: 10.1143/JJAP.38.7222

  • Conductance oscillations in low-dimensional ion implanted regions annealed by rapid thermal annealing 査読

    H Kondo, K Kaga, S Baba, H Iwano, S Zaima, Y Yasuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   1999年4月

     詳細を見る

    記述言語:英語  

    We have investigated the Coulomb blockade in gate-controlled hopping conduction systems made up of small-dimensional focused ion beam (FIB) implanted wires having a small non-implanted gap. Dot structures are formed in the gap by potential fluctuations caused by dispersed Ga impurities and implantation-induced defects. In the present work, the samples were subjected to two thermal treatment methods: furnace annealing at 600 degrees C for 30 min and rapid thermal annealing (RTA) at 900 degrees C for 30 s. In both samples, the conductance oscillates by varying the gate voltage at low temperatures, in which the nearest-neighbor hopping conduction is dominant. In the samples annealed by RTA, the oscillation amplitude becomes much larger compared with that of samples annealed at 600 degrees C, which suggests that implantation-induced defects are annihilated by the thermal treatment at high temperatures. There coexist periodic and random peaks in the observed oscillation, which originate from the Coulomb blockade and hopping path changes, respectively. From obtained measurements, the dot size is estimated to be about 24 nm for the sample annealed at 600 degrees C and 14 nm for the sample annealed at 900 degrees C. The width of tunneling barrier of the sample annealed at 900 degrees C was estimated to be about 14-17 nm.

    DOI: 10.1143/JJAP.38.1843

  • Conductance Oscillations in Hopping Conduction Systems Fabricated by Focused Ion Beam Implantation 査読

    Hiroki Kondo, Hirotaka Iwano, Osamu Nakatsuka, Kazutaka Kaga, Shigeaki Zaima, Yukio Yasuda

    Japanese Journal of Applied Physics   1997年6月

     詳細を見る

    記述言語:英語  

    We have investigated the single-electron phenomena in p-Si hopping conduction systems with a gate electrode. The hopping conduction systems have been fabricated on Si(100) substrates by focused ion beam (FIB) implantation of Ga+ ions with a beam diameter of 0.1 mu m. The samples show nearest-neighbor hopping (NNH) conduction below 30K and the conductance is found to oscillate by changing the gate voltage in the NNH conduction region. From the period of conductance oscillation, the gate capacitance is estimated to be about 0.3-0.4 aF. The evaluated size of a dot based on the capacitance is close to the dimension of localized states in this hopping. conduction system. A plateau is also observed in current-voltage characteristics between source and drain electrodes. It is considered that these phenomena originate from a Coulomb blockade and that the chain of localized hopping states acts as asymmetric multiple tunneling junctions.

    DOI: 10.1143/jjap.36.4046

▼全件表示

Works(作品等)

  • 名大、プラズマの医療応用研究拠点を設置

    2013年8月

     詳細を見る

    発表場所:日刊工業新聞  

    researchmap

  • 名大など、窒化物半導体の結晶成長を五倍高速化―HDRS新開発

    2013年5月

     詳細を見る

    発表場所:日刊工業新聞  

    researchmap

  • 次世代有機フレキシブルデバイスに向けたソフト材料の超高精度・超高アスペクト比加工~自律型プラズマナノ製造装置による超微細有機膜エッチングを実現~

    2011年7月

     詳細を見る

    発表場所:トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  

    researchmap

  • 新規グラフェン材料であるカーボンナノウォールを用いた次世代デバイスの創製~カーボンナノウォールのバンド構造および電子物性の解明に成功~

    2010年5月

     詳細を見る

    発表場所:トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  

    researchmap

所属学協会

  • 日本表面科学会

  • 応用物理学会

  • Electrochemical society

委員歴

  • Electrochemical society   運営委員   国際

    2023年4月 - 2024年4月   

  • Electrochemical society   Associate board member, Dielectric division   国際

    2023年4月 - 2024年4月   

  • シリコンテクノロジー分科会・ナノマイクロファブリケーション研究委員会・委員長   委員長   国内

    2019年4月 - 2023年12月   

  • 応用物理学会シリコンテクノロジー分科会ナノ・マイクロファブリケーション研究委員会   委員長  

    2019年4月 - 2023年12月   

      詳細を見る

  • 応用物理学会プラズマエレクトロニクス分科会   幹事   国内

    2018年4月 - 2021年3月   

  • 応用物理学会東海支部役員会   幹事  

    2014年4月 - 現在   

      詳細を見る

  • 応用物理学会シリコンテクノロジー分科会   幹事   国内

    2013年4月 - 2024年4月   

  • 応用物理学会シリコンテクノロジー分科会   幹事  

    2013年4月   

      詳細を見る

▼全件表示

学術貢献活動

  • 実行委員 国際学術貢献

    International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / International Conference on Plasma Nanotechnology and Science (ISPlasma / IC-PLANTs) 2025  ( 名古屋大学 ) 2025年3月 - 2024年3月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:300

  • Program committee 国際学術貢献

    PRiME 2024  ( Hawaii Convention Center & Hilton Hawaiian Village UnitedStatesofAmerica ) 2024年10月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:7,000

  • Program committee 国際学術貢献

    245th, Electrochemical society (ECS), meeting  ( San Francisco, CA UnitedStatesofAmerica ) 2024年5月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:6,500

  • 実行委員 国際学術貢献

    International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / International Conference on Plasma Nanotechnology and Science (ISPlasma / IC-PLANTs) 2024  ( 名古屋大学 ) 2024年3月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:300

  • Associated program committee 国際学術貢献

    244th, Electrochemical society (ECS), Fall meeting  ( Gothenburg Sweden ) 2023年10月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:6,500

共同研究・競争的資金等の研究課題

  • プラズマ支援CVD法におけるアモルファスカーボンの成膜機構の情報学援用解析

    2024年10月

    共同研究

      詳細を見る

    担当区分:研究代表者  資金種別:その他産学連携による資金

  • 基板間ハイブリッド接合に資するカーボンキャップ層の選択成長技術の開発

    2024年

    名古屋大学低温プラズマ 科学研究センター共同利用・共同研究

      詳細を見る

    担当区分:研究代表者  資金種別:受託研究

  • 細穴内面プラズマ表面加工のスマートプロセス化

    研究課題/領域番号:23K22659  2022年4月 - 2026年3月

    科学研究費助成事業  基盤研究(B)

    上坂 裕之, 近藤 博基

      詳細を見る

    資金種別:科研費

    細穴内面のプラズマ表面加工を対象として,①ガス枯渇による不均一分布を回避するためのパルスプラズマのON時間とOFF時間が,高速度カメラによる発光観察に基づいて自動決定されること,②膜質最適化のために選定される他の実験パラメータは,機械学習による予測モデルを使うことで効率的に決定されること,を実証する.また,そのようなアルゴリズムを備えたスマートな細穴内面プラズマ表面加工装置を具現化する.

    CiNii Research

  • 細穴内面プラズマ表面加工のスマートプロセス化

    研究課題/領域番号:22H01388  2022年 - 2025年

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • 超グラフェン新機能材料の創生

    2020年 - 2021年

    JSPS 国際交流事業・二国間交流事業(スロベニア(MESS)との共同研究)

      詳細を見る

    担当区分:研究代表者  資金種別:受託研究

  • 非平衡大気圧プラズマによるナノ複合材料の合成と表面機能化

    2019年 - 2024年

    戦略的国際共同研究プログラム(SICORP)「日本-中国 国際共同研究イノベーション拠点共同研究」(環境/エネルギー分野)

      詳細を見る

    担当区分:研究代表者  資金種別:受託研究

  • 高移動度InNチャネルに向けた高密度ラジカル照射下における初期成長機構の解明

    研究課題/領域番号:18H01890  2018年 - 2020年

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • カーボンナノウォールシートエッジエレクトロニクスの創成と単一細胞の分化誘導制御

    研究課題/領域番号:15H02032  2015年 - 2017年

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • プラズマ微細加工におけるナノ揺らぎ制御に係わるプラズマ科学の創成

    研究課題/領域番号:25286080  2013年 - 2015年

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      詳細を見る

    担当区分:連携研究者  資金種別:科研費

  • ナノカーボン多層構造物を用いた超小型炭素水素化合物センサーの開発

    研究課題/領域番号:25600123  2013年 - 2014年

    科学研究費助成事業  挑戦的萌芽研究

      詳細を見る

    担当区分:連携研究者  資金種別:科研費

  • 気液プラズマ照射下におけるバイオマテリアル形態変化のその場電子顕微鏡観察

    研究課題/領域番号:25600122  2013年 - 2014年

    科学研究費助成事業  挑戦的萌芽研究

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • プラズマ医療のための気相・表界面反応ダイナミクスの計測と体系化

    研究課題/領域番号:24108002  2012年 - 2016年

    日本学術振興会・文部科学省  科学研究費助成事業  新学術領域研究(研究領域提案型)

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • プラズマ照射下その場原子分解能観察による垂直成長ナノグラフェン成長素過程の解明

    研究課題/領域番号:24360015  2012年 - 2014年

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • シリコン系エンジニアリングサブストレート実現のための材料・物性・構造制御技術

    研究課題/領域番号:21246009  2009年 - 2011年

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • ナノスケールULSIデバイスのためのミクタミクト合金電極・配線の創成

    研究課題/領域番号:19686004  2007年 - 2008年

    科学研究費助成事業  若手研究(A)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • ナノシステム機能化High-kゲート/歪制御ゲルマニウムチャネル構造の創成

    研究課題/領域番号:18063012  2006年 - 2009年

    科学研究費助成事業  特定領域研究

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • 非熱平衡原子固溶プロセスに基づく超高速伸張歪Geチャネルの創成

    研究課題/領域番号:18206005  2006年 - 2008年

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • IV族半導体極微細プロセスに向けた固相反応のダイナミクス制御とCエンジニアリング

    研究課題/領域番号:15206004  2003年 - 2005年

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • 単一電子トラップ直視技術の開発とそれを用いた極薄ゲート絶縁膜の絶縁劣化機構の解明

    2001年 - 2004年

    日本学術振興会  科学研究費助成事業  基盤研究(C)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • 単一電子トラップ直視技術の開発とそれを用いた極薄ゲート絶縁膜の劣化機構の解明

    研究課題/領域番号:13305005  2001年 - 2004年

    日本学術振興会  科学研究費助成事業  基盤研究(A)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

▼全件表示

教育活動概要

  • 半導体デバイス,プロセスおよび信頼性に関する先端研究を通した教育.

担当授業科目

  • 半導体社会実装学特論

    2024年10月 - 2025年3月   後期

  • 半導体社会実装学特論

    2024年10月 - 2025年3月   後期

  • (IUPE)Fundamentals of Integrated Circuits B

    2024年6月 - 2024年8月   夏学期

  • 電気電子工学読解Ⅰ

    2024年4月 - 2024年9月   前期

  • 電気電子工学演示Ⅰ

    2024年4月 - 2024年9月   前期

  • (IUPE)Fundamentals of Integrated Circuits A

    2024年4月 - 2024年6月   春学期

  • 半導体社会実装概論

    2024年4月 - 2024年6月   春学期

  • 半導体社会実装学特論

    2024年10月 - 2025年3月   後期

  • (IUPE)Fundamentals of Integrated Circuits B

    2024年6月 - 2024年8月   夏学期

  • 電気電子工学読解Ⅰ

    2024年4月 - 2024年9月   前期

  • 電気電子工学演示Ⅰ

    2024年4月 - 2024年9月   前期

  • (IUPE)Fundamentals of Integrated Circuits A

    2024年4月 - 2024年6月   春学期

▼全件表示

FD参加状況

  • 2023年11月   役割:参加   名称:【シス情FD】企業等との共同研究の実施増加に向けて

    主催組織:部局

他大学・他機関等の客員・兼任・非常勤講師等

  • 2024年  岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」  区分:集中講義  国内外の区分:国内 

    学期、曜日時限または期間:2024年7月25日3-4限

  • 2023年  岐阜大学プラズマ応用研究センター・特別講義「X線光電子分光の基礎と実践」  区分:集中講義  国内外の区分:国内 

    学期、曜日時限または期間:2023年6月22日3-4限

  • 2023年  名古屋大学低温プラズマ科学研究センター  区分:客員教員  国内外の区分:国内 

    学期、曜日時限または期間:通年

政策形成、学術振興等への寄与活動

  • 2023年10月 - 現在   プラズマ・核融合学会

    プラズマ医療科学に基づいたソフトマテリアルのプロセス,材料,計測,評価の進化

  • 2023年4月 - 現在   電気学会

    放電・プラズマ・パルスパワーにおける計測技術の現状と将来について調査専門委員会

海外渡航歴

  • 2024年3月

    滞在国名1:アメリカ合衆国   滞在機関名1:Micron

  • 2024年3月

    滞在国名1:アメリカ合衆国   滞在機関名1:Boise State University

  • 2024年2月

    滞在国名1:インド   滞在機関名1:SRM Institute of Science & Technology, Kattankulathur

学内運営に関わる各種委員・役職等

  • 2014年4月 - 2015年3月   その他 幹事