2024/10/03 更新

お知らせ

 

写真a

イタガキ ナホ
板垣 奈穂
ITAGAKI NAHO
所属
システム情報科学研究院 I&Eビジョナリー特別部門 教授
プラズマナノ界面工学センター (併任)
工学部 電気情報工学科(併任)
システム情報科学府 電気電子工学専攻(併任)
マス・フォア・イノベーション連係学府 (併任)
職名
教授
外部リンク

研究分野

  • ものづくり技術(機械・電気電子・化学工学) / 電気電子材料工学

学位

  • 博士(理学)

経歴

  • 産業技術総合研究所 キヤノン株式会社

    産業技術総合研究所 キヤノン株式会社

研究テーマ・研究キーワード

  • 研究テーマ:次世代型・超高速エキシトニクストランジスタの創成

    研究キーワード:エキシトニクス、トランジスタ

    研究期間: 2011年1月

  • 研究テーマ:レアメタルフリー環境低負荷型電子・光デバイスの創成

    研究キーワード:レアメタル代替、電子デバイス、光デバイス、低環境負荷

    研究期間: 2010年4月

  • 研究テーマ:高品質酸化物半導体薄膜の新規生成法の開発

    研究キーワード:酸化物半導体

    研究期間: 2010年4月

受賞

  • Best Poster Award, 2022 MRS Spring Meeting & Exhibit

    2022年5月   Material Research Society   Epitaxial Growth of Atomically Flat Single-crystalline (ZnO)/_x /(InN)_1-/x/ Films on O-polar ZnO Substrates by Magnetron Sputtering

  • Best Poster Award, 2022 MRS Spring Meeting & Exhibit

    2022年5月   Material Research Society  

     詳細を見る

    Epitaxial Growth of Atomically Flat Single-crystalline (ZnO)/_x /(InN)_1-/x/ Films on O-polar ZnO Substrates by Magnetron Sputtering

    researchmap

  • プラズマ・核融合学会 九州・沖縄・山口支部 第 25 回 (令和3年度)支部大会講演奨励賞

    2021年12月   プラズマ・核融合学会   逆Stranski-Krastanovモードを利用した単結晶ZnMgO薄膜のスパッタリング成膜

  • 第45回(2018年秋季)応用物理学会講演奨励賞

    2018年9月   応用物理学会   スパッタエピタキシーによるサファイア基板直上へのIn-rich (ZnO)x(InN)1-x膜の作製

  • 第14回プラズマエレクトロニクス賞

    2016年3月   応用物理学会プラズマエレクトロニクス分科会   "Synthesis and characterization of ZnInON semiconductor: a ZnO-based compound with tunable band gap" N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani

  • さきがけ「太陽光と光電変換機能」研究領域 総括賞

    2015年1月   独立行政法人科学技術振興機構「太陽光と光電変換機能」研究領域   さきがけ「太陽光と光電変換機能」領域の研究推進

  • プラズマ材料科学賞(奨励部門)

    2013年8月   学振 153委員会   スパッタリングにおけるZnO膜の結晶成長制御とグリーンデバイスへの展開

  • Outstanding Poster Award

    2013年8月   the 9th Asian-European International Conference on Plasma Surface Engineering 2013  

  • International Symposium on Sputtering and Plasma Processes 2013; Poster Award

    2013年7月   International Symposium on Sputtering and Plasma Processes  

  • 11th APCPST "Advanced Plasma Application Award"

    2012年10月   11th Asia Pacific Conference on Plasma Science adn Technology (APCPST) & 25th Symposium on Plasma Science for Materials (SPSM)  

  • Award for Encouragement of Research in Materials Science

    2012年9月   IUMRS-ICEM2012  

  • IUMRS-ICEM2012 "Young Scientist Awards: Silver Award Winners"

    2012年9月  

  • ISPlasma2012 "Best Presentation Award"

    2012年3月   ISPlasma2012  

  • Best Poster Presentation Award

    2012年3月   th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials  

▼全件表示

論文

▼全件表示

書籍等出版物

講演・口頭発表等

  • Fabrication of ZnO Based Transparent Conducting Oxide as an Alternative to In2O3:Sn by Sputtering Combined with Solid Phase Crystallization 国際会議

    N. Itagaki, Z. Shen, Y. Wada, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of ZnO Based Transparent Conducting Oxide as an Alternative to In2O3:Sn by Sputtering Combined with Solid Phase Crystallization 国際会議

    N. Itagaki, Z. Shen, Y. Wada, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語  

    開催地:国立京都国際会館   国名:日本国  

    researchmap

  • Fabrication of ZnO based transparent conducting oxides by sputtering combined with solid phase crystallization : a way to meet the future demand for transparent electrodes 招待 国際会議

    N. Itagaki, Y. Wada, H. Yabuta, M. Shiratani

    AAPPS-DPP2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of ZnO based transparent conducting oxides by sputtering combined with solid phase crystallization : a way to meet the future demand for transparent electrodes 招待 国際会議

    N. Itagaki, Y. Wada, H. Yabuta, M. Shiratani

    AAPPS-DPP2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語  

    開催地:ポートメッセ名古屋   国名:日本国  

    researchmap

  • スパッタだからできる薄膜の結晶性・モフォロジー制御 招待

    板垣奈穂

    化学工学会第54回秋季大会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • スパッタだからできる薄膜の結晶性・モフォロジー制御 招待

    板垣奈穂

    化学工学会第54回秋季大会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:福岡大学   国名:日本国  

    researchmap

  • Structural Control of Sputter Deposited Films Using Impurities 招待 国際会議

    N. Itagaki

    International C+Nano Convergence Technology Symposium (ICTS)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Structural Control of Sputter Deposited Films Using Impurities 招待 国際会議

    N. Itagaki

    International C+Nano Convergence Technology Symposium (ICTS)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:オンライン  

    researchmap

  • 不純物でスパッタ膜のモフォロジーを制御する~アモルファスからエピ膜まで~ (招待講演) 招待

    板垣奈穂

    第78回CVD研究会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:京都大学   国名:日本国  

  • 不純物でスパッタ膜のモフォロジーを制御する~アモルファスからエピ膜まで~ (招待講演) 招待

    板垣奈穂

    第78回CVD研究会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語  

    開催地:京都大学   国名:日本国  

    researchmap

  • Sputtering Growth of Single-Crystalline ZnO Based Semiconducting Films on Large Lattice-Mismatched Substrates (Invited) 招待 国際会議

    N. Itagaki

    International Workshop on Magnetron Sputter Epitaxy (IWMSE)  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering Growth of Single-Crystalline ZnO Based Semiconducting Films on Large Lattice-Mismatched Substrates (Invited) 招待 国際会議

    N. Itagaki

    International Workshop on Magnetron Sputter Epitaxy (IWMSE)  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語  

    開催地:オンライン   国名:日本国  

    researchmap

  • Structural Control of Sputter Deposited Films beyond the Thornton Diagram Using Impurities (keynote) 招待 国際会議

    N. Itagaki

    AAPPS-DPP 2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Structural Control of Sputter Deposited Films beyond the Thornton Diagram Using Impurities (keynote) 招待 国際会議

    N. Itagaki

    AAPPS-DPP 2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:オンライン   国名:日本国  

    researchmap

  • Fabrication of Low-Resistive Amorphous In2O3 :Sn Films at 600°C by Magnetron Sputtering Using Nitrogen 国際会議

    2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • Fabrication of Low-Resistive Amorphous In2O3 :Sn Films at 600°C by Magnetron Sputtering Using Nitrogen 国際会議

    N. Itagaki, Y. Mido, Z. Shen, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • 不純物で薄膜モフォロジーを制御する~アモルファスからエピ膜まで~ (招待講演) 招待

    板垣奈穂

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会 2022  2022年7月 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 不純物で薄膜モフォロジーを制御する~アモルファスからエピ膜まで~ (招待講演) 招待

    板垣奈穂

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会 2022  2022年7月 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:日本語  

    開催地:オンライン   国名:日本国  

    researchmap

  • Development of New Oxynitride Semiconductors with Tunable Band Gaps Using Magnetron Sputtering(Invited) 招待 国際会議

    N. Itagaki

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Inverted Stranski-Krastanov Growth of ZnO Based Semiconductors for Excitonic Devices(Invited) 招待 国際会議

    N. Itagaki

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures(Invited) 招待 国際会議

    N. Itagaki

    MRS fall meeting 2021  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter epitaxy of ZnO based oxide/oxynitride semiconductors for excitonic transistors(Invited) 招待 国際会議

    5th Asia-Pacific Conference on Plasma Physics  2021年10月 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 非晶質ZnON膜からの固相結晶化ZnO膜の形成とシード層としての効果(招待講演) 招待

    板垣奈穂, 沈志遠, 御堂雄大, 薮田久人

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • スパッタエピタキシー法を駆使したZnO系新材料の開発(招待講演) 招待

    板垣奈穂

    第68回応用物理学会春季学術講演会  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Sputter epitaxy through “inverse” SK mode for a new class of excitonic devices (Invited) 招待 国際会議

    2020年10月 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • A novel semiconductor ZION for excitonic devices (Invited) 招待

    N. Itagaki

    2020年8月 

     詳細を見る

    開催年月日: 2020年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures (Invited) 招待 国際会議

    N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • ZnO Based Semiconductors for Excitonic Devices (Invited) 招待 国際会議

    N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Inverse Stranski-Krastanov growth: a method for growth of single crystalline films beyond lattice-matching condition (Invited) 招待 国際会議

    N. Itagaki

    Satellite Workshop of XXXIV ICPIG & ICRP-10 "New trends of plasma processes for thin films and related materials for the deep discussion on new trends of plasma processes"  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter Epitaxy of ZnO Based Compounds for Excitonic Devices 招待 国際会議

    N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter Epitaxy of compound semiconductors via inverse Stranski-Krastanov mode: A method of single crystalline film growth beyond lattice matchins condition 招待 国際会議

    N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter Epitaxy via Inverse Stranski-Krastanov Growth Mode: A Method of Single Crystal Growth beyond Lattice Matching Condition 国際会議

    N. Itagki, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    AVS Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2018)  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Sputter epitaxy of high quality (ZnO)x(InN)1-x: a new semiconducting material for excitonic devices (Invited) 招待 国際会議

    N. Itagki, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Inverse Stranski-Krastanov Growth of Single Crystalline Films: A New Mode of Heteroepitaxy for Large Lattice Mismatched System (Invited) 招待 国際会議

    N. Itagaki, M. Shiratani

    10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018)  2018年7月 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • 格子整合条件を超えて原子平坦表面を実現する新規スパッタエピタキシー技術の開発~ZnO on sapphireを例に~(招待講演) 招待

    板垣奈穂, 岩崎和也, 古閑一憲, 白谷正治

    第65回応用物理学会春季学術講演会  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • Excitonic devices for on-chip optical interconnects 国際会議

    N. Itagaki

    Joint workshop btw SKKU and Kyushu University Emerging materials and devices  2018年1月 

     詳細を見る

    開催年月日: 2018年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of High-Mobility Nanocrystal-Free a-In2O3:Sn Films by Magnetron Sputtering with Impurity-Mediated Amorphization Method 招待 国際会議

    N. Itagaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    27th annual meeting of MRS-J  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 逆SKモードを利用した超高品質スパッタエピタキシー(招待講演) 招待

    板垣奈穂

    2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:姫路商工会議所   国名:日本国  

  • 不純物添加スパッタリング法による高移動度・ナノ結晶フリー a-In2O3:Sn薄膜の作製

    板垣奈穂, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • Fabrication of High-Mobility Amorphous In2O3:Sn Films by RF Magnetron Sputtering with Impurity-Mediated Amorphization Method (Keynote) 招待 国際会議

    N. Itagaki, T. Takasaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Inverse Stranski-Krastanov Growth of Single Crystalline ZnO-Based Semiconductors on Lattice Mismatched Substrates (Invited) 招待 国際会議

    N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Inverse Stranski-Krastanov Growth of Pit-Free Single Crystalline ZnO Films on Lattice Mismatched Substrates 国際会議

    N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    29th International Conference on Defects in Semiconductors (ICDS2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年7月 - 2017年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 不純物添加スパッタリングによる高移動度アモルファスITOの作製(招待講演) 招待

    板垣奈穂

    プラズマ材料科学第 153委員会 第130回研究会 プラズマを用いた新奇プロセス・新規材料創製  2017年4月 

     詳細を見る

    開催年月日: 2017年4月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:なごやサイエンスパーク サイエンス交流プラザ   国名:日本国  

  • スパッタエピタキシー法を用いた高品質単結晶薄膜の形成(招待講演) 招待

    板垣奈穂

    プラズマ核融合学会第29回専門講習会「スパッタ技術の現状と展望」  2017年1月 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • Sputtering growth of (ZnO)x(InN)1-x semiconductor: a ZnO-based compound with bandgap tunability over the entire visible spectrum (Invited) 招待 国際会議

    N. Itagaki, K. Matsushima, D. Ymashita, H. Seo, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • バンドギャップチューニング可能なZnO系新半導体材料の開発(プラズマエレクトロニクス賞受賞記念講演) 招待

    板垣奈穂, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷 正治

    第77回応用物理学会秋季学術講演会  2016年9月 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ, 新潟   国名:日本国  

  • Plasma surface interactions of single crystal ZnO during sputtering in Ar+O2+N2 国際会議

    N. Itagaki and M. Shiratani

    Workshop on Plasma surface interaction for technological applications  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Inverse SK mode of epitaxial film growth and its application to solar cells (Invited) 招待 国際会議

    N. Itagaki

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016年2月 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • 可視領域でバンドギャップチューニング可能な新材料ZIONの開発(招待講演) 招待

    板垣奈穂

    固体化学の新しい指針を探る研究会第78回定例研究会  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • Single Crystal Growth On Large Lattice-Mismatched Substrates By Using Buffer Layers With Fine Grains (Invited) 招待 国際会議

    N. Itagaki

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Sputtering growth of single-crystalline ZnO films on c-sapphire substrates by using impurity-mediated crystallization method: Effects of surface morphology of buffer layers 国際会議

    N. Itagaki, T. Ide, K. Matsushima, T. Takasaki, D. Yamashita, H. Seo, K. Koga, Masaharu Shiratani

    2015 E-MRS Spring Meeting and Exhibit  2015年5月 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • High-mobility amorphous In2O3:Sn films prepared by sputter deposition with nitrogen-mediated amorphization method 国際会議

    N. Itagaki, T. Takasaki, T. Nakanishi, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 可視領域でバンドギャップチューニング可能なZnO系新材料の開発(招待講演) 招待

    板垣奈穂, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治

    第62回応用物理学会春季学術講演会  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  • ZnO-based semiconductors with tunable band gap for 3rd generation solar sells (Invited) 招待 国際会議

    N. Itagaki

    International Society for Optics and Photonics, Photonics West 2015  2015年2月 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:口頭発表(一般)  

  • 不純物添加結晶化法による高品質ZnO薄膜の形成(招待講演) 招待

    板垣奈穂

    学振166委員会 第66回研究会  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アイビーホール青学会館、東京   国名:日本国  

  • ZnO-based semiconductors with tunable band gap for solar cell application (Invited) 招待 国際会議

    Naho Itagaki

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering Growth of ZnO-based semiconductors with Band Gap Tunability over the Entire Visible Spectrum (Invited) 招待 国際会議

    N. Itagaki

    Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2014)  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Sputtering Growth of Pseudobinary ZnO-InN Alloys with Tunable Band Gap for Application in Multi-Quantum Well Solar Cells 国際会議

    N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    2014 MRS Fall Meeting  2014年12月 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • スパッタリング成膜法による高品質酸化亜鉛薄膜の形成

    板垣奈穂, 古閑一憲, 白谷正治

    第30回 九州・山口プラズマ研究会  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ラグナガーデンホテル、沖縄   国名:日本国  

  • Synthesize of ZnO-based Semiconductor with Tunable Band Gap and Its Application in Multi-Quantum-Well Solar Cells 国際会議

    N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, and M. Shiratani

    6th World Conferenceon Photovoltaic Energy Conversion  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Non-Equilibrium and Extreme State -High-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization- 国際会議

    N. Itagaki, T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    Plasma Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    Non-equilibrium and extreme state: high-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization

  • Sputteing Growth of High-Quality ZnO-based Semiconductors for Optoelectronic Applications (Invited) 招待 国際会議

    N. Itagaki

    American Vacuum Society 61st International Symposium and Exhibition (AVS)  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • 超高効率太陽電池への挑戦 ~量子効果を利用した新型太陽電池の実現に向けて~(招待講演) 招待

    板垣奈穂

    第25回精密加工プロセス研究会講演会  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:リファレンス駅東ビル   国名:日本国  

  • c面サファイア基板上への単結晶ZnO膜の形成 : 格子不整合系ヘテロエピタキシーにおける成長初期過程の表面形態の影響

    板垣奈穂, 井出智章, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治

    第75回応用物理学会秋季学術講演会  2014年9月 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  • Fabrication of Pseudo-binary ZnO-InN Alloys with Tunable Bandgap by Low-Temperature Magnetron Sputtering (Invited) 招待 国際会議

    N. Itagaki

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Crystal Growth Control of Sputter-Deposited ZnO Films by Nitrogen-Mediated Crystallization Method 国際会議

    N. Itagaki, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter-Deposition of Pseudobinary ZnO-InN Alloys with Tunable Bandgap for Photovoltaic Application (Invited) 招待 国際会議

    N. Itagaki, K. Matsushima, R. Shimizu, and T. Ide

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014)  2014年7月 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Novel oxynitride semiconductors for photovoltaic applications (太陽電池のための新規酸窒化物材料の探索) 招待

    板垣奈穂

    36th Seminar of Photovoltaic Power Generation Project (第36回平成26年度太陽光発電プロジェクト講演会 )  2014年5月 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:宮崎大学   国名:日本国  

  • Sputtering growth of ZnO-based semiconductors using ZnON buffer layers for optoelectronic applications (Invited) 招待 国際会議

    N. Itagaki

    The International Symposium on Plasma-Nano Materials and Processes  2014年4月 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • 不純物添加結晶化法を用いた高品質ZnO薄膜のスパッタリング成膜」 -格子不整合基板上への単結晶膜の作製から極薄透明導電膜の作製まで- (招待講演) 招待

    板垣奈穂

    スパッタリングおよびプラズマプロセス技術部会(SP部会) 第137回定例研究会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:機械振興会館   国名:日本国  

  • Sputtering growth of single-crystalline ZnO-based semiconductors on lattice mismatched substrates (Invited) 招待 国際会議

    N. Itagaki, K. Matsushima, I. Suhariadi, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani

    International Society for Optics and Photonics, Photonics West 2014  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

  • 新規酸窒化物半導体を用いたピエゾ電界誘起量子井戸型太陽電池の創製

    板垣奈穂

    第2回「太陽光と光電変換機能」領域公開シンポジウム  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:UDXギャラリーネクスト, 東京   国名:日本国  

  • ZnInON系太陽電池材料の探索 (招待講演) 招待

    板垣奈穂

    第5回薄膜太陽電池セミナー  2013年11月 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • 不純物添加結晶化法による酸化亜鉛の高品質成長と新規2次元材料への展開

    板垣奈穂

    第29回九州山口プラズマ研究会  2013年11月 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:クレドホテル臼杵   国名:日本国  

  • Crystallinity Control of Sputtered ZnO:Al Transparent Conducting Films by Utilizing Buffer Layers Fabricated via Nitrogen Mediated Crystallization 国際会議

    N. Itagaki, K. Oshikawa, I. Suhariadi, K. Matsushima, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani

    Solid State Devices and Materials 2013 (SSDM)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Synthesis and Characterization of Oxynitride Semiconductor ZnInON with Tunable Bandgap

    N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G.Uchida, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Band-Gap Engineering of ZnO Based Semiconductors Deposited by Sputtering 国際会議

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    2013 JSAP-MRS Joint Symposia  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Novel Approach to Sputtering Growth of Single Crystalline Oxide Semiconductors for Optoelectronic Applications (Invited) 招待 国際会議

    N. Itagaki

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • グリーン時代を切り拓く革新的エレクトロニクス材料の創製~極低消費電力トランジスタおよび次世代型太陽電池の実現に向けて~

    板垣奈穂

    2013年度先端サマーセミナー(第5回研究活動交流会)  2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Novel Application of Ar/N2 Discharges to Sputtering Growth of High Quality Oxide Semiconductors (Invited) 招待 国際会議

    N. Itagaki

    The XXXI edition of the International Conference on Phenomena in Ionized Gases (ICPIG)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スペイン  

  • Sputter Deposition of Single Crystal ZnO Films on 18% Lattice mismatched c-Al2O3 Substrates via Nitrogen Mediated Crystallization 国際会議

    N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, and M. Shiratani

    International Symposium on Sputtering and Plasma Processes (ISSP2013)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter Deposition of Semiconductor-Grade ZnO Based Materials on Lattice Mismatched Substrates (Invited) 招待 国際会議

    N. Itagaki, K. Kuwahara, K. Matsushima, K. Oshikawa, and I. Suarihadi

    The Collaborative Conference on Materials Research 2013  2013年6月 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • スパッタリングにおけるZnNOx膜の初期成長制御とグリーンデバイスへの展開 (招待講演) 招待

    板垣奈穂

    第112回研究会(153委員会,154委員会,131委員会合同研究会)プラズマ材料科学に基づいた薄膜形成と新プロセスの創出  2013年6月 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • 高効率太陽電池の実現に向けた新規酸窒化物半導体ZnInONのバンドギャップエンジニアリング

    板垣奈穂, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第10回 「次世代の太陽光発電システム」シンポジウム  2013年5月 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • スパッタ法によるC面サファイア基板上への原子平坦ZnO薄膜の作製

    板垣奈穂, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    2013年第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • Sputter deposition of zinc-indium oxynitride semiconductors with narrow bandgap for excitonic transistors 国際会議

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter deposition of atomically-flat ZnO films on lattice mismatched substrates via nitrogen mediated crystallization (Invited) 招待 国際会議

    N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Epitaxial Growth of ZnO Based Semiconductors via Impurity-Additive Mediated Crysallization 国際会議

    N. Itagaki, K. Kuwahara, K. Matsushima, T. Hirose, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    2012 MRS Fall Meeting  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors 国際会議

    N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    The 34th International Symposium on Dry Process  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Zinc-Indium Oxynitride Thin Films for Multiple-Quantum–Well Solar Cells 国際会議

    Asia-Pacific Conference on Plasma Science and Technology (11th APCPST)  2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:京都大学   国名:日本国  

  • Zinc-Indium Oxynitride Semiconductors for Piezo-Electric-Field Effect MQW Solar Cells 国際会議

    N. Itagaki, K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate 国際会議

    N. Itagaki, I. Suhariadi, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    13th International Conference on Plasma Surface Engineering (PSE2012)  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • 高効率量子井戸型太陽電池のための新規酸窒化物半導体薄膜の作製

    板垣奈穂、松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、古閑一憲、白谷正治

    第9回「次世代の太陽光発電システム」シンポジウム  2012年5月 

     詳細を見る

    開催年月日: 2012年5月 - 2012年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:京都テルサ   国名:日本国  

  • Piezo-electric-field effect MQW solar cells based on novel oxynitride semiconductors

    N. Itagaki

    2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 窒素添加結晶化バッファー層によるZnO:Al 薄膜の結晶性制御: 窒素供給量の影響

    板垣奈穂, I. Suhariadi, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • Novel fabrication method for ZnO films via nitrogen-mediated crystallization (Invited) 招待 国際会議

    N. Itagaki, K. Kuwahara, K. Matsushima, K. Oshikawa

    SPIE (International society for optics and photonics) photonics west 2012  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization 国際会議

    N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011年12月 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Ar/N2マグネトロンスパッタによる低抵抗ZnO:Al膜の作製 国際会議

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • 窒素添加結晶化法による超均一・低抵抗酸化亜鉛薄膜の作製

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第27回九州・山口プラズマ研究会(兼応用物理学会九州支部シンポジウム「プラズマ計測とその応用 ナノプロセスから環境まで」)  2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:かんぽの宿柳川, 福岡   国名:日本国  

  • Spatial distribution of resistivity of ZnO:Al thin films fabricated on solid-phase crystallized seed layers 国際会議

    N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    European Material Research Society 2011 Fall Meeting (E-MRS)  2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  • ZnO:Al薄膜の抵抗率の面内均一性に対する固相結晶化シード層の効果

    板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第72回応用物理学会学術講演会  2011年9月 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  • Fabrication of high quality ZnO films via nitrogen-mediated crystallization 国際会議

    N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of highly conducting ZnO:Al films utilizing solid-phase crystallized seed layer 国際会議

    N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    European Materials Research Society 2011 Spring Meeting  2011年5月 

     詳細を見る

    開催年月日: 2011年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • 固相結晶化シード層を用いた酸化亜鉛系透明導電膜の作製

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • Novel fabrication method for transparent conducting oxide films utilizing solid-phase crystallized seed layers (Invited) 招待 国際会議

    N. Itagaki, K. Kuwahara, and K. Nakahara

    2011年2月 

     詳細を見る

    開催年月日: 2011年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:インド  

  • Solid phase crystallization of ZnO films via nitrogen-atom mediation 国際会議

    N. Itagaki, K. Kuwahara

    2010 MRS Fall Meeting  2010年11月 

     詳細を見る

    開催年月日: 2010年11月 - 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Novel fabrication method for oxide semiconductors via atomic-additive mediated crystallization (Invited) 招待 国際会議

    N. Itagaki

    International technical conference of IEEE Region 10  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • アモルファス相からの固相結晶化による酸化亜鉛薄膜の作製

    板垣奈穂, 桑原和成, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第26回九州・山口プラズマ研究会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山陽館, 大分   国名:日本国  

  • Fabrication of a-ZnON films by Ar/N2 sputtering for solid-phase crystallization of ZnO 国際会議

    N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • 窒素原子を介した固相結晶化法による酸化亜鉛薄膜の作製

    板垣奈穂, 桑原和成, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    第71回応用物理学会学術講演会  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • 公募で勝つには-私の経験

    板垣奈穂

    第37回西日本放電懇談会  2010年8月 

     詳細を見る

    開催年月日: 2010年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東洋館, 佐賀   国名:日本国  

  • Combinatorial sputtering of oxynitride semiconductors (Invited) 招待 国際会議

    N. Itagaki

    2010 International Workshop on Plasma Applications  2010年6月 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Amorphous Oxide Semiconductor Based TFTs: Their Current Situation and Issues (Invited) 招待 国際会議

    N. Itagaki, S. Yaginuma, H. Omura, A. Goyal, A. Sato, M. Watanabe, M. Shimada, N. Kaji, K. Takahashi, M. Ofuji, T. Watanabe, H. Shimizu, K. Abe, Y. Tateishi, H. Yabuta, T. Iwasaki, R. Hayashi, T. Aiba, M. Sano and H. Kumomi

    2009年12月 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • In-X-O (X=B,Mg,Al,Si,Ti,Ga,Ge,Mo,Sn) をチャネル層に用いたTFTとその特性の元素X依存性

    板垣奈穂, Amita Goyal, 岩崎達哉, 田透, 雲見日出也

    第69回応用物理学会学術講演会  2008年9月 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • Zn-In-O based thin-film transistors: Compositional dependence 国際会議

    N. Itagaki, T. Iwasaki, T. Den, H. Kumomi, K. Nomura, T. Kamiya, and H. Hosono

    European Material Research Society 2007 Spring Meeting  2007年6月 

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • In-Ga-Zn-O 系薄膜を用いた電界効果トランジスタとその組成依存性

    板垣奈穂, 岩崎達哉, 田透, 雲見日出也, 野村研二, 神谷利夫, 細野秀雄

    第53回応用物理学関係連合講演会  2006年3月 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:武蔵工業大学, 東京   国名:日本国  

  • マルチホロー型カソードを用いた高密度VHFプラズマの生成と診断

    板垣奈穂, 新倉ちさと, 松田彰久, 近藤道雄

    第65回応用物理学会学術講演会  2004年9月 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北学院大, 宮城   国名:日本国  

  • Electron-Temperature Measurement in SiH4/H2 ECR Plasma Produced by 915MHz Microwaves 国際会議

    N. Itagaki, K. Sasaki and Y. Kawai

    7th Asia Pacific Conf. Plasma Sci. Technol. and 17th Symp. Plasma Sci. Mater.  2004年6月 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Production of Electron-Temperature-Controllable ECR Plasma for Thin Film Deposition 国際会議

    N. Itagaki, K. Muta, N. Ishii and Y. Kawai

    2003年11月 

     詳細を見る

    開催年月日: 2003年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Relationship between the plasma parameter and the microwave power absorption in ECR plasma 国際会議

    N. Itagaki, K. Muta, Y. Kawai, N. Ishii

    American Phys. Soc. 45st Annual Meet. Division of Plasma Phys.  2003年11月 

     詳細を見る

    開催年月日: 2003年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Electron Temperature Control in ECR Plasma by Varying the Resonance Zone Width 国際会議

    N. Itagaki, K. Muta, N. Ishii and Y. Kawai

    2003年9月 

     詳細を見る

    開催年月日: 2003年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Control of the electron temperature by varying the resonance zone width in ECR plasma 国際会議

    N. Itagaki, H. Muta, N. Ishii and Y. Kawai

    16th Symposium on Plasma Science for Materials  2003年6月 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Relationship between the electron temperature and the power absorption profile in ECR plasma 国際会議

    N. Itagaki, K. Muta, N. Ishii and Y. Kawai

    2003年6月 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  • ECRプラズマにおける共鳴幅変化による電子温度制御

    板垣奈穂, 牟田浩司, 河合良信

    第 50回応用物理学関係連合講演会  2003年3月 

     詳細を見る

    開催年月日: 2003年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川大学, 神奈川   国名:日本国  

  • Behaviour of N2 Dissociation in ECR Plasma 国際会議

    N. Itagaki, S, Iwata, K. Muta, Y. Kawai, A. Yonesu, S. Kawakami, N. Ishii

    4th Cross Straits Symp. Mater.,Energy and Environmental Sci.  2002年11月 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 915MHz ECRプラズマにおける電子温度のパワー吸収分布依存性

    板垣奈穂, 牟田浩司, 河合良信

    プラズマ・核融合学会第19回年会  2002年11月 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛知県犬山市   国名:日本国  

  • 915MHz ECRプラズマの窒素解離特性

    板垣奈穂, 河合良信, 米須章, 川上聡, 石井信雄

    プラズマ科学のフロンティア研究会  2002年10月 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:核融合科学研究所, 岐阜   国名:日本国  

  • Behavior of the molecular dissociation in 915 MHz ECR nitrogen plasma 国際会議

    N. Itagaki, S. Iwata, K. Muta, A. Yonesu, S. Kawakami, N. Ishii, Y. Kawai

    2002年7月 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Electron-temperature dependence of nitrogen dissociation in 915 MHz ECR plasma 国際会議

    N. Itagaki, S. Iwata, K. Muta, A. Yonesu, S. Kawakami, N. Ishii, Y. Kawai

    6th Asian-European International Conference on Plasma Surface Engineering  2002年7月 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • 915 MHz ECRプラズマにおける窒素解離特性の電子温度依存性

    板垣奈穂、岩田真治、牟田浩二、米須明、川上聡、石井信雄、河合良信

    第49回応用物理学関係連合講演会  2002年3月 

     詳細を見る

    開催年月日: 2002年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学, 神奈川   国名:日本国  

  • 915MHz ECRプラズマにおける電子温度制御

    板垣奈穂, 川上聡, 石井信雄, 河合良信

    プラズマ・核融合学会 第18回年会  2001年11月 

     詳細を見る

    開催年月日: 2001年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:春日市, 福岡   国名:日本国  

  • Electron-temperature control in 915 MHz electron cyclotron resonance plasma 国際会議

    N. Itagaki, Y. Kawai, S. Kawakami, N. Ishii

    2001年10月 

     詳細を見る

    開催年月日: 2001年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Production of low electron temperature ECR plasma for plasma application 国際会議

    N. Itagaki, S. Kawakami, N. Ishii, Y. Kawai

    2001年7月 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Production of low-electron temperature ECR plasma with large area using 915 MHz microwave 国際会議

    N. Itagaki, S. Kawakami, N. Ishii, Y. Kawai

    2001年6月 

     詳細を見る

    開催年月日: 2001年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of Magnetic-Mirror Confinement on Electron Temperature Control in ECR Plasma 国際会議

    N. Itagaki, Y. Ueda, N. Ishii and Y. Kawai

    2000年12月 

     詳細を見る

    開催年月日: 2000年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • ECRプラズマの低電子温度化に対する窒素ガス添加効果

    板垣奈穂, 上田洋子, 石井信雄, 河合良信

    平成12年度応用物理学会九州支部講演会  2000年12月 

     詳細を見る

    開催年月日: 2000年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本大学, 熊本   国名:日本国  

  • Production of Low Electron Temperature ECR Plasma for Plasma Processing 国際会議

    N. Itagaki, Y.Ueda and Y. Kawai

    5th Asia-Pacific Conference on Plasma Science & Technology and 13th Symposium on Plasma Science for Materials  2000年9月 

     詳細を見る

    開催年月日: 2000年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Production of low electron temperature ECR plasma for thin film deposition 国際会議

    N. Itagaki, Y. Ueda, N. Ishii and Y. Kawai

    2000年9月 

     詳細を見る

    開催年月日: 2000年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • 低電子温度ECRプラズマ生成への試み

    板垣奈穂, 上田洋子, 河合良信, 石井信雄

    第47回応用物理学関係連合講演会  2000年3月 

     詳細を見る

    開催年月日: 2000年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学, 東京   国名:日本国  

  • Control of the Electron Temperature in an ECR Plasma for Thin Film Deposition 国際会議

    N. Itagaki, A. Fukuda, Y. Ueda, N.Ishii and Y. Kawai

    American Phys. Soc. 41st Annual Meet. Division of Plasma Phys.  1999年11月 

     詳細を見る

    開催年月日: 1999年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Plasma parameter measurements and deposition of a-Si:H thin films in pulsed ECR plasma 国際会議

    N. Itagaki, A. Fukuda, T. Yoshizawa, M. Shindo, Y. Ueda and Y. Kawai

    1999年9月 

     詳細を見る

    開催年月日: 1999年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Investigation of ECR plasma uniformity from the point of view of production & confinement 国際会議

    N. Itagaki, T. Yoshizawa, Y. Ueda, Y. Kawai

    12th Symposium on Plasma Science for Materials  1999年6月 

     詳細を見る

    開催年月日: 1999年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • スパッタエピタキシーによる新規酸窒化物半導体材料の作製とエキシトンデバイスへの応用(招待講演) 招待

    板垣奈穂

    第134回フロンティア材料研究所講演会  2021年1月 

     詳細を見る

    開催年月日: - 2021年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学フロンティア材料研究所   国名:日本国  

  • Predictions for High Quality Amorphous ITO(In2O3:Sn) Film Formation via Hybrid Machine Learning Model 招待 国際会議

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    ICTS  2024年1月 

     詳細を見る

    開催年月日: 2024年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Predictions for High Quality Amorphous ITO(In2O3:Sn) Film Formation via Hybrid Machine Learning Model 招待 国際会議

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    ICTS  2024年1月 

     詳細を見る

    開催年月日: 2024年8月

    記述言語:英語  

    国名:大韓民国  

    researchmap

  • プラズマスパッタによる高移動度アモルファスITO成膜におけるハイブリッド機械学習モデル

    鎌滝晋礼, 板垣奈穂, 山下大輔, 奥村賢直, 山下尚人, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  • Large-Scale Fabrication of Tm3 Fe5 O12 Film with Perpendicular Magnetic Anisotropy Using Magnetron Sputtering 国際会議

    A. M. Nurut, S. Obinata, T. Okumura, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, N. Yamashita

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • イネ種皮のプラズマ起因化学種透過性の二次元分布解析

    史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  • プラズマ照射によるシロイヌナズナ種皮の物質透過性変化

    奥村賢直, 古閑一憲, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 南原英司

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  • 大気圧プラズマを用いた窒素固定における電極温度の効果

    中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  • CxHy+ArプラズマCVDを用いた水素化アモルファスカーボン膜の堆積特性に対するガス圧力の効果

    小野晋次郎, 恵利眞人, 奥村賢直, 山下尚人, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  • CxHy+ArプラズマCVDを用いた水素化アモルファスカーボン膜の堆積特性に対するガス圧力の効果

    小野晋次郎, 恵利眞人, 奥村賢直, 山下尚人, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語  

    開催地:東京都市大学   国名:日本国  

    researchmap

  • Large-Scale Fabrication of Tm3 Fe5 O12 Film with Perpendicular Magnetic Anisotropy Using Magnetron Sputtering 国際会議

    A. M. Nurut, S. Obinata, T. Okumura, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, N. Yamashita

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語  

    開催地:東京都市大学   国名:日本国  

    researchmap

  • イネ種皮のプラズマ起因化学種透過性の二次元分布解析

    史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語  

    開催地:東京都市大学   国名:日本国  

    researchmap

  • 大気圧プラズマを用いた窒素固定における電極温度の効果

    中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語  

    開催地:東京都市大学   国名:日本国  

    researchmap

  • プラズマ照射によるシロイヌナズナ種皮の物質透過性変化

    奥村賢直, 古閑一憲, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 南原英司

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語  

    開催地:東京都市大学   国名:日本国  

    researchmap

  • プラズマスパッタによる高移動度アモルファスITO成膜におけるハイブリッド機械学習モデル

    鎌滝晋礼, 板垣奈穂, 山下大輔, 奥村賢直, 山下尚人, 古閑一憲, 白谷正治

    第71回応用物理学会 春季学術講演会  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語  

    開催地:東京都市大学   国名:日本国  

    researchmap

  • Sputtering deposition of low resistive 30-nm-thick ZnO:Al films on seed layers grown via solid phase crystallization of fractionally crystallized ZnON films 国際会議

    Y. Wada, S. Zhiyuan, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of carbon nanoparticles fabricated by multi-hollow discharge plasma CVD on DC biased substrates 国際会議

    K. Koga, S. Ono, M. Eri, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Molecular structure analysis of DBD plasma irradiated DMPO by LC-MS 国際会議

    T. Okumura, H. Shi, P.Attri, D. Yamasita, K. Kamataki, N.Yamasita, N. Itagaki, K. Koga, M. Shiratan

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Nitrogen Fixation to Leaf Mold Using Air Plasma and Evaluation of Phenotype Response of Sugarcane to Nitrogen-Fixed Fertilizer 国際会議

    T.Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, K. Koga

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of carbon nanoparticles fabricated by multi-hollow discharge plasma CVD on DC biased substrates 国際会議

    K. Koga, S. Ono, M. Eri, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • Nitrogen Fixation to Leaf Mold Using Air Plasma and Evaluation of Phenotype Response of Sugarcane to Nitrogen-Fixed Fertilizer 国際会議

    T.Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, K. Koga

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • Molecular structure analysis of DBD plasma irradiated DMPO by LC-MS 国際会議

    T. Okumura, H. Shi, P.Attri, D. Yamasita, K. Kamataki, N.Yamasita, N. Itagaki, K. Koga, M. Shiratan

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • Sputtering deposition of low resistive 30-nm-thick ZnO:Al films on seed layers grown via solid phase crystallization of fractionally crystallized ZnON films 国際会議

    Y. Wada, S. Zhiyuan, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki

    ISPlasma  2024年3月 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • プラズマスパッタによる高品質アモルファスITO薄膜形成のためのハイブリッド機械学習モデル 招待

    鎌滝晋礼,板垣 奈穂,山下 大輔, 奥村 賢直,山下 尚人, 古閑 一憲, 白谷 正治

    2024年1月 

     詳細を見る

    開催年月日: 2024年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • プラズマスパッタによる高品質アモルファスITO薄膜形成のためのハイブリッド機械学習モデル 招待

    鎌滝晋礼, 板垣 奈穂, 山下 大輔, 奥村 賢直, 山下 尚人, 古閑 一憲, 白谷 正治

    SPP-41  2024年1月 

     詳細を見る

    開催年月日: 2024年1月

    記述言語:日本語  

    開催地:東京工業大学   国名:日本国  

    researchmap

  • Effects of Carbon Nanoparticle Interposed between Two Hydrogenated Amorphous Carbon Films on Surface Morphology of a-C:H Film

    S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Enhancement of The Coercivity and Blocking Temperature of Co doped ZnO films by RF sputtering Using Nitrogen 国際会議

    M. N. Agusutrisno, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, N. Yamashita

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Evaluation of Elastic Parameters of a-C:H Film with Carbon Nanoparticles Using Nanoindentation Method 国際会議

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Hybrid machine learning model prediction for high mobility amorphous ITO films fabricated by RF plasma sputtering 国際会議

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Carbon Nanoparticle Interposed between Two Hydrogenated Amorphous Carbon Films on Surface Morphology of a-C:H Film

    S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第39回 九州・山口プラズマ研究会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語  

    開催地:国立京都国際会館   国名:日本国  

    researchmap

  • Hybrid machine learning model prediction for high mobility amorphous ITO films fabricated by RF plasma sputtering 国際会議

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語  

    開催地:国立京都国際会館   国名:日本国  

    researchmap

  • Evaluation of Elastic Parameters of a-C:H Film with Carbon Nanoparticles Using Nanoindentation Method 国際会議

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語  

    開催地:国立京都国際会館   国名:日本国  

    researchmap

  • Enhancement of The Coercivity and Blocking Temperature of Co doped ZnO films by RF sputtering Using Nitrogen 国際会議

    M. N. Agusutrisno, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, N. Yamashita

    MRM2023/IUMRS-ICA2023  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語  

    開催地:国立京都国際会館   国名:日本国  

    researchmap

  • クメンを用いた二周波容量結合プラズマ CVD 法による a-C:H 膜の製膜特性

    恵利眞人, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • PECVD を用いた a-C:H 成膜における添加希ガス種における成膜機構の違い

    池田築, 大高真寛,大友洋,脇田大地,頼建勲,鎌滝晋礼, 山下大輔,奥村賢直,山下尚人,板垣奈穂,古閑一憲, 白谷正治, 進藤崇央,松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • 任意波形放電による水素化アモルファスカーボン成膜:イオンフラックスが膜質に与える影響

    脇田大地,大高真寛,池田築,頼建勲,大友洋,鎌滝晋礼,山下直人,板垣奈穂,古閑一憲,白谷正治,進藤崇央,松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • 逆 Stranski-Krastanov モードによるサファイア基板上への(ZnO)x(InN)1-x 膜のエピタキシャル成長:バッファー層のモフォロジーの影響

    畑昌太朗,中野祐太郎,成重椋太,山下尚人,鎌滝晋礼,奥村賢直,古閑一憲,白谷正治,木山治樹,板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • 逆 Stranski-Krastanov モードを利用した異なるオフ角を有するサファイア基板上への(ZnO)x(InN)1-x 膜の成長

    中野祐太郎,成重椋太,山下尚人,鎌滝晋礼,奥村賢直,古閑一憲,白谷正治,木山治樹,板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • 放電電圧変調波形が高周波容量結合プラズマに与える影響:PIC-MCC シミュレーション

    長尾伊織,山本祐馬,佐藤優志,鎌滝晋礼,山下尚人, 奥村賢直,木山治樹,板垣奈穂,古閑一憲,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • 水と大気圧プラズマの相互作用による二酸化炭素分解

    乙部響, P. Attri,奥村賢直, 史合平, 中尾匠,日高直哉, 鎌滝晋礼,山下大輔,板垣奈穂,古閑一憲,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • TEOS-PECVD における発光分光計測を用いたSiO2 薄膜膜質推定についての研究

    佐藤優志, 山本祐馬, F. W. Sukuma, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口KDDI維新ホール   国名:日本国  

  • PECVD を用いた a-C:H 成膜における添加希ガス種における成膜機構の違い

    池田築, 大高真寛, 大友洋, 脇田大地, 頼建勲, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • TEOS-PECVD における発光分光計測を用いたSiO2 薄膜膜質推定についての研究

    佐藤優志, 山本祐馬, F. W. Sukuma, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • 逆 Stranski-Krastanov モードを利用した異なるオフ角を有するサファイア基板上への(ZnO)x(InN)1-x 膜の成長

    中野祐太郎, 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 木山治樹, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • 逆 Stranski-Krastanov モードによるサファイア基板上への(ZnO)x(InN)1-x 膜のエピタキシャル成長:バッファー層のモフォロジーの影響

    畑昌太朗, 中野祐太郎, 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 木山治樹, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • 水と大気圧プラズマの相互作用による二酸化炭素分解

    乙部響, P. Attri, 奥村賢直, 史合平, 中尾匠, 日高直哉, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • 放電電圧変調波形が高周波容量結合プラズマに与える影響:PIC-MCC シミュレーション

    長尾伊織, 山本祐馬, 佐藤優志, 鎌滝晋礼, 山下尚人, 奥村賢直, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • 任意波形放電による水素化アモルファスカーボン成膜:イオンフラックスが膜質に与える影響

    脇田大地, 大高真寛, 池田築, 頼建勲, 大友洋, 鎌滝晋礼, 山下直人, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • クメンを用いた二周波容量結合プラズマ CVD 法による a-C:H 膜の製膜特性

    恵利眞人, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第27回 支部大会  2023年12月 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語  

    開催地:山口KDDI維新ホール   国名:日本国  

    researchmap

  • 空気プラズマを用いた窒素固定に対する放電電力密度の効果

    中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲

    第40回 プラズマ・核融合学会 年会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アイーナ・いわて県民情報交流センター   国名:日本国  

  • 空気プラズマを用いた窒素固定に対する放電電力密度の効果

    中尾匠, 奥村賢直, パンカジアタリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 古閑一憲

    第40回 プラズマ・核融合学会 年会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:アイーナ・いわて県民情報交流センター   国名:日本国  

    researchmap

  • Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of thickness of 3D island buffer layer

    H. Otsuyama, R. Mitsuishi, T. Yunoue, K. Yataka, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマ中に浮遊する微粒子の帯電量の新評価法

    井口恒聖, 佐藤斗真, 鎌滝晋礼, P. Yiming, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 富田健太郎

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学伊都キャンパス   国名:日本国  

  • TEOS-PECVD によるSiO2成膜におけるプラズマ発光強度と膜質の関係

    山本祐馬, 佐藤優志, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学伊都キャンパス   国名:日本国  

  • 任意電圧波形放電による容量結合プラズマにおける重畳周波数の効果:PIC-MCCモデル

    頼 建勳, 鎌滝 晋礼, 山下 大輔, 奥村 賢直, 山下 尚人, 板垣 奈穂, 古閑 一憲, 白谷 正治

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学伊都キャンパス   国名:日本国  

  • Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of thickness of 3D island buffer layer

    H. Otsuyama, R. Mitsuishi, T. Yunoue, K. Yataka, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語  

    開催地:九州大学伊都キャンパス   国名:日本国  

    researchmap

  • 任意電圧波形放電による容量結合プラズマにおける重畳周波数の効果:PIC-MCCモデル

    頼 建勳, 鎌滝 晋礼, 山下 大輔, 奥村 賢直, 山下 尚人, 板垣 奈穂, 古閑 一憲, 白谷 正治

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:九州大学伊都キャンパス   国名:日本国  

    researchmap

  • プラズマ中に浮遊する微粒子の帯電量の新評価法

    井口恒聖, 佐藤斗真, 鎌滝晋礼, P. Yiming, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治, 富田健太郎

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:九州大学伊都キャンパス   国名:日本国  

    researchmap

  • TEOS-PECVD によるSiO2成膜におけるプラズマ発光強度と膜質の関係

    山本祐馬, 佐藤優志, 長尾伊織, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:九州大学伊都キャンパス   国名:日本国  

    researchmap

  • Comparative study of deposition characteristics of different precursors for plasma CVD 国際会議

    S. Ono, M. Eri, T. Okumura, K. Kunihiro, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    DPS2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Quantitative Analysis of Electric Field Intensity Generated by Scalable Dielectric Barrier Discharge Electrodes for Irradiating to Plant Seeds 国際会議

    K. Koga, T. Okumura, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, M. Shiratani

    DPS2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Comparative study of deposition characteristics of different precursors for plasma CVD 国際会議

    S. Ono, M. Eri, T. Okumura, K. Kunihiro, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    DPS2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語  

    開催地:ウインク愛知   国名:日本国  

    researchmap

  • Quantitative Analysis of Electric Field Intensity Generated by Scalable Dielectric Barrier Discharge Electrodes for Irradiating to Plant Seeds 国際会議

    K. Koga, T. Okumura, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, M. Shiratani

    DPS2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語  

    開催地:ウインク愛知   国名:日本国  

    researchmap

  • 質量分析を用いたプラズマ照射イネ種子における分子修飾解析

    史 合平,奥村 賢直,パンカジ アトリ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市産業貿易センタービル   国名:日本国  

  • 大量処理のためのプラズマ照射による土壌への窒素固定化の最適化

    中尾 匠,奥村 賢,アタリ パンカジ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,白谷 正治,古閑 一憲

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市産業貿易センタービル   国名:日本国  

  • 液体クロマトグラフィー質量分析法を用いたプラズマ被照射物からの亜硝酸塩および硝酸塩の同時検出法の開発

    日高 直哉,奥村 賢直,アタリ パンカジ,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市産業貿易センタービル   国名:日本国  

  • スケーラブル誘電体バリア放電プラズマを照射したDMPOの質量分析

    乙部 響,史 合平,奥村 賢直,阿南 輝樹,アタリ パンカジ,山下 大輔,鎌滝 晋礼,山下 尚人,板垣 奈穂,古閑 一憲,白谷 正治

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市産業貿易センタービル   国名:日本国  

  • スケーラブル誘電体バリア放電プラズマを照射したDMPOの質量分析

    乙部 響, 史 合平, 奥村 賢直, 阿南 輝樹, アタリ パンカジ, 山下 大輔, 鎌滝 晋礼, 山下 尚人, 板垣 奈穂, 古閑 一憲, 白谷 正治

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • 質量分析を用いたプラズマ照射イネ種子における分子修飾解析

    史 合平, 奥村 賢直, パンカジ アトリ, 山下 大輔, 鎌滝 晋礼, 山下 尚人, 板垣 奈穂, 古閑 一憲, 白谷 正治

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • 液体クロマトグラフィー質量分析法を用いたプラズマ被照射物からの亜硝酸塩および硝酸塩の同時検出法の開発

    日高 直哉, 奥村 賢直, アタリ パンカジ, 鎌滝 晋礼, 山下 尚人, 板垣 奈穂, 古閑 一憲, 白谷 正治

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • 大量処理のためのプラズマ照射による土壌への窒素固定化の最適化

    中尾 匠, 奥村 賢, アタリ パンカジ, 山下 大輔, 鎌滝 晋礼, 山下 尚人, 板垣 奈穂, 白谷 正治, 古閑 一憲

    第33回日本MRS年次大会  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Predictions for High Mobility Amorphous ITO(In2O3:Sn) Films via Hybrid Machine Learning Model 国際会議

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    AAPPS-DPP2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Predictions for High Mobility Amorphous ITO(In2O3:Sn) Films via Hybrid Machine Learning Model 国際会議

    K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani

    AAPPS-DPP2023  2023年11月 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語  

    開催地:ポートメッセ名古屋   国名:日本国  

    researchmap

  • Highly sensitive electric field vector measurements using an optically trapped fine particle 国際会議

    Global Plasma Forum in Aomori  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Controlling the synthesis, transport, and surface coverage of carbon nanoparticles using plasma CVD 国際会議

    S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani

    Global Plasma Forum in Aomori  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Evaluation of carbon nanoparticle adhesion on substrate surface deposited by plasma CVD 国際会議

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    Global Plasma Forum in Aomori  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Controlling the synthesis, transport, and surface coverage of carbon nanoparticles using plasma CVD 国際会議

    S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani

    Global Plasma Forum in Aomori  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語  

    開催地:ねぶたの家 ワ・ラッセ   国名:日本国  

    researchmap

  • Highly sensitive electric field vector measurements using an optically trapped fine particle 国際会議

    M. Shiratani, T. Sato, K. Kamataki, S. W. Fitriani, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga

    Global Plasma Forum in Aomori  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語  

    開催地:ねぶたの家 ワ・ラッセ   国名:大韓民国  

    researchmap

  • Evaluation of carbon nanoparticle adhesion on substrate surface deposited by plasma CVD 国際会議

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    Global Plasma Forum in Aomori  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語  

    開催地:ねぶたの家 ワ・ラッセ   国名:日本国  

    researchmap

  • Evaluation of Interaction Between Substrate and Nanoparticles Deposited by Plasma Chemical Vapor Deposition 国際会議

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N.Yamashita, N. Itagaki, M. Shiratani

    GEC  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Evaluation of Interaction Between Substrate and Nanoparticles Deposited by Plasma Chemical Vapor Deposition 国際会議

    K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N.Yamashita, N. Itagaki, M. Shiratani

    GEC  2023年10月 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語  

    開催地:Michigan League  

    researchmap

  • プラズマ照射による窒素固定肥料へのサトウキビの表現型応答解析

    中尾匠, 奥村賢直, パンカジアタリ, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(4)

    鎌滝晋礼, 佐藤斗真, 井口恒聖, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • ZnON膜からの固相結晶化ZnO膜の形成と透明導電膜シード層としての効果:ZnON膜の結晶化度の影響

    和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • 逆Stranski-Krastanovモードを用いたサファイア基板上へのZnO単結晶膜成長:MgOバッファー層の効果

    湯上貴文, 矢高功太郎, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • サファイア基板上への原子ステップを有するZn1-xMgxO薄膜の形成:3次元島バッファー層の形成温度の影響

    矢高功太郎, 湯上貴文, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • ZnOおよびScAlMgO4ステップ基板上への(ZnO)x(InN)1-x膜の室温エピタキシャル成長

    成重椋太, 中野祐太朗, 山下尚人, 鎌滝晋礼, 奥村賢直, 木山治樹, 古閑一憲, 白谷正治, 薮田久人, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • 逆Stranski-Krastanovモードによる格子不整合基板上への原子平坦 (ZnO)x(InN)1-x 膜の成長

    中野祐太郎, 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 木山治樹, 薮田久人, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • 質量分析を用いたプラズマ照射DMPOの分子修飾解析

    史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • サンドウィッチ構造 a-C:H 膜の剥離と強度に対する 膜界面に堆積したナノ粒子の効果

    小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • 任意波形放電を用いた水素化アモルファスカーボン膜の膜質制御

    大高真寛,大友洋, 池田築, 頼建勲, 脇田大地, 鎌滝晋礼, 山下直人, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール他   国名:日本国  

  • ZnON膜からの固相結晶化ZnO膜の形成と透明導電膜シード層としての効果:ZnON膜の結晶化度の影響

    和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • 逆Stranski-Krastanovモードを用いたサファイア基板上へのZnO単結晶膜成長:MgOバッファー層の効果

    湯上貴文, 矢高功太郎, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • 逆Stranski-Krastanovモードによる格子不整合基板上への原子平坦 (ZnO)x(InN)1-x 膜の成長

    中野祐太郎, 成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 木山治樹, 薮田久人, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • 質量分析を用いたプラズマ照射DMPOの分子修飾解析

    史合平, 奥村賢直, P. Attri, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(4)

    鎌滝晋礼, 佐藤斗真, 井口恒聖, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • 任意波形放電を用いた水素化アモルファスカーボン膜の膜質制御

    大高真寛, 大友洋, 池田築, 頼建勲, 脇田大地, 鎌滝晋礼, 山下直人, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • プラズマ照射による窒素固定肥料へのサトウキビの表現型応答解析

    中尾匠, 奥村賢直, パンカジアタリ, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • サンドウィッチ構造 a-C:H 膜の剥離と強度に対する 膜界面に堆積したナノ粒子の効果

    小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • サファイア基板上への原子ステップを有するZn1-xMgxO薄膜の形成:3次元島バッファー層の形成温度の影響

    矢高功太郎, 湯上貴文, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • ZnOおよびScAlMgO4ステップ基板上への(ZnO)x(InN)1-x膜の室温エピタキシャル成長

    成重椋太, 中野祐太朗, 山下尚人, 鎌滝晋礼, 奥村賢直, 木山治樹, 古閑一憲, 白谷正治, 薮田久人, 板垣奈穂

    第84回応用物理学会秋季学術講演会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:熊本城ホール他   国名:日本国  

    researchmap

  • 質量分析を用いたプラズマ照射イネ種子における変動分子の組織別解析

    史合平, 奥村賢直, A. Pankaj, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度(第76回)電気・情報関係学会九州支部連合大会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:崇城大学   国名:日本国  

  • 大気圧空気プラズマによる硝酸態窒素固定量に対する放電電力密度依存性

    中尾匠, 奥村賢直, A. Pankaj, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    2023年度(第76回)電気・情報関係学会九州支部連合大会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:崇城大学   国名:日本国  

  • 大気圧空気プラズマによる硝酸態窒素固定量に対する放電電力密度依存性

    中尾匠, 奥村賢直, A. Pankaj, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    2023年度(第76回)電気・情報関係学会九州支部連合大会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:崇城大学   国名:日本国  

    researchmap

  • 質量分析を用いたプラズマ照射イネ種子における変動分子の組織別解析

    史合平, 奥村賢直, A. Pankaj, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度(第76回)電気・情報関係学会九州支部連合大会  2023年9月 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語  

    開催地:崇城大学   国名:日本国  

    researchmap

  • Measurement of electric field, UV photons, and long-lifetime reactive species generated by atmospheric pressure air plasma for plasma bio applications 国際会議

    T. Okumura, S. Tsuboyama, Y. Tagawa, T. Nakao, T. Anan, H. Tanaka, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, K. Koga, M. Shiratani, K. Kuchitsu

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Effects of tailored voltage waveform discharges on deposition of hydrogenated amorphous carbon films by CH4/Ar capacitively coupled plasma 国際会議

    M. Otaka, H. Otomo, K. Ikeda, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Optical tweezers technique for electric field strength and fluctuation measurements in plasma using a fine particle 国際会議

    T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Control of ion trajectory in high aspect ratio trenches by using amplitude modulated rf discharges 国際会議

    I. Nagao, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Investigation of particle charge and interparticle interaction in a plasma 国際会議

    K. Kamataki, T. Sato, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Coverage control of carbon nanoparticles on substrate using capacitively coupled plasma chemical vapor deposition 国際会議

    K. Koga, S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Sputter epitaxy of atomically flat (ZnO)x(InN)1-x films on sapphire substrates using ZnO(N) buffer layers fabricated by Ar/N2 discharges 国際会議

    Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Deposition characteristics of cumene plasma CVD for high-speed deposition of high-density a-C:H films 国際会議

    S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Relation between Spatial Distribution of Optical Emission Intensity and SiO2 Film Property in TEOS-PECVD 国際会議

    Y. Yamamoto, I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Effects of Ne mixing on plasma enhanced chemical vapor deposition of a-C:H films using CH4/Ar/Ne capacitively coupled discharges 国際会議

    K. Ikeda, M. Otaka, H. Otomo, T. Arima, J. Lai, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Sputter epitaxy of Zn1-xMgxO films on lattice-mismatched sapphire substrates utilizing ZnO(N)/MgO buffer layers fabricated by Ar/N2 and Ar/O2 discharges 国際会議

    T. Yunoue, K. Yataka, N. Yamashita, D. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Pseudomorphic growth of (ZnO)x(InN)1-x films on ZnO substrates by magnetron sputtering using Ar/N2/O2 discharges 国際会議

    R. Narishige, Y. Nakano, N. Yamashita, K. Kamataki, T. Okumura, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Sputter deposition of low resistive 30-nm-thick ZnO:Al films using ZnO seed layers grown via solid-phase crystallization 国際会議

    Y. Wada, Z. Shen, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Effects of lower discharge frequency on ion energy distribution function in dual frequency plasma studied by particle-in-cell/Monte Carlo method 国際会議

    J. Lai, T. Arima, M. Otaka, K. Ikeda, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Control of ion trajectory in high aspect ratio trenches by using amplitude modulated rf discharges 国際会議

    I. Nagao, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Pseudomorphic growth of (ZnO)x(InN)1-x films on ZnO substrates by magnetron sputtering using Ar/N2/O2 discharges 国際会議

    R. Narishige, Y. Nakano, N. Yamashita, K. Kamataki, T. Okumura, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Optical tweezers technique for electric field strength and fluctuation measurements in plasma using a fine particle 国際会議

    T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Measurement of electric field, UV photons, and long-lifetime reactive species generated by atmospheric pressure air plasma for plasma bio applications 国際会議

    T. Okumura, S. Tsuboyama, Y. Tagawa, T. Nakao, T. Anan, H. Tanaka, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, K. Koga, M. Shiratani, K. Kuchitsu

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Investigation of particle charge and interparticle interaction in a plasma 国際会議

    K. Kamataki, T. Sato, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Effects of tailored voltage waveform discharges on deposition of hydrogenated amorphous carbon films by CH4/Ar capacitively coupled plasma 国際会議

    M. Otaka, H. Otomo, K. Ikeda, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Effects of Ne mixing on plasma enhanced chemical vapor deposition of a-C:H films using CH4/Ar/Ne capacitively coupled discharges 国際会議

    K. Ikeda, M. Otaka, H. Otomo, T. Arima, J. Lai, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Effects of lower discharge frequency on ion energy distribution function in dual frequency plasma studied by particle-in-cell/Monte Carlo method 国際会議

    J. Lai, T. Arima, M. Otaka, K. Ikeda, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Deposition characteristics of cumene plasma CVD for high-speed deposition of high-density a-C:H films 国際会議

    S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Coverage control of carbon nanoparticles on substrate using capacitively coupled plasma chemical vapor deposition 国際会議

    K. Koga, S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Relation between Spatial Distribution of Optical Emission Intensity and SiO2 Film Property in TEOS-PECVD 国際会議

    Y. Yamamoto, I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Sputter epitaxy of Zn1-xMgxO films on lattice-mismatched sapphire substrates utilizing ZnO(N)/MgO buffer layers fabricated by Ar/N2 and Ar/O2 discharges 国際会議

    T. Yunoue, K. Yataka, N. Yamashita, D. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Sputter epitaxy of atomically flat (ZnO)x(InN)1-x films on sapphire substrates using ZnO(N) buffer layers fabricated by Ar/N2 discharges 国際会議

    Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • Sputter deposition of low resistive 30-nm-thick ZnO:Al films using ZnO seed layers grown via solid-phase crystallization 国際会議

    Y. Wada, Z. Shen, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki

    ICPIG2023  2023年7月 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語  

    開催地:Hotel Zuiderduin, Egmonf aan Zee   国名:オランダ王国  

    researchmap

  • プラズマ中帯電微粒子の相互作用に関する研究

    井口恒聖, 佐藤斗真, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下尚人, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度日本表面真空学会 九州支部学術講演会  2023年6月 

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アルカス佐世保   国名:日本国  

  • ナノ粒子を用いた膜界面の形状ゆらぎによる膜応力低減

    小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度日本表面真空学会 九州支部学術講演会  2023年6月 

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アルカス佐世保   国名:日本国  

  • ナノ粒子を用いた膜界面の形状ゆらぎによる膜応力低減

    小野晋次郎, 恵利眞人, 奥村賢直, 鎌滝晋礼, 山下尚人, 木山治樹, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度日本表面真空学会 九州支部学術講演会  2023年6月 

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語  

    開催地:アルカス佐世保   国名:日本国  

    researchmap

  • プラズマ中帯電微粒子の相互作用に関する研究

    井口恒聖, 佐藤斗真, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下尚人, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    2023年度日本表面真空学会 九州支部学術講演会  2023年6月 

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語  

    開催地:アルカス佐世保   国名:日本国  

    researchmap

  • Prediction of Plasma CVD Process Data of a-Si:H Films via Machine Learning 国際会議

    K. Kamataki, F. L. Chawarambwa, D. Yamashita, N. Yamashita, T.Okumura, N. Itagaki, K.Koga, M. Shiratani

    ISPC25  2023年5月 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Prediction of Plasma CVD Process Data of a-Si:H Films via Machine Learning 国際会議

    K. Kamataki, F. L. Chawarambwa, D. Yamashita, N. Yamashita, T.Okumura, N. Itagaki, K.Koga, M. Shiratani

    ISPC25  2023年5月 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語  

    開催地:みやこめっせ   国名:日本国  

    researchmap

  • プラズマCVD法で堆積した2層a-C:Hの機械的強度に対するカーボンナノ粒子挿入の効果

    田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学   国名:日本国  

  • Non-off Axis Sputtering Deposition of Ferrimagnetic Insulator Film with Perpendicular Magnetic Anisotropy

    2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマ照射によるレタス種子表面および内部の分子変動

    阿南輝樹, 奥村賢直, アトリパンカジ, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学   国名:日本国  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(3)

    鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学   国名:日本国  

  • Non-off Axis Sputtering Deposition of Ferrimagnetic Insulator Film with Perpendicular Magnetic Anisotropy

    山下尚人, A. Agustrisno, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, C. Marrows

    第70回応用物理学会 春季学術講演会  2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語  

    開催地:上智大学   国名:日本国  

    researchmap

  • プラズマCVD法で堆積した2層a-C:Hの機械的強度に対するカーボンナノ粒子挿入の効果

    田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語  

    開催地:上智大学   国名:日本国  

    researchmap

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(3)

    鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語  

    開催地:上智大学   国名:日本国  

    researchmap

  • プラズマ照射によるレタス種子表面および内部の分子変動

    阿南輝樹, 奥村賢直, アトリパンカジ, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第70回応用物理学会 春季学術講演会  2023年3月 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語  

    開催地:上智大学   国名:日本国  

    researchmap

  • A Study of Solid-phase Crystallization of Amorphous ZnON Films 国際会議

    Z. Shen, Y. Mido, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani1, H. Yabuta, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Measurement of fluctuation of electric field in Ar plasmas using a fine particle trapped with laser tweezers 国際会議

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Structural Characteristics of Carbon Sphere Composite Deposited by Ar+CH4 Plasma Chemical Vapor Deposition Method 国際会議

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. 0kumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering deposition of single crystalline Zn1-xMgxO films on sapphire substrate via inverted Staranski-Krastanov mode: effects of substrate 国際会議

    K.Yataka, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time resolved optical emission spectroscopy in Ar and Ar/Ne capacitively coupled radio frequency plasma. 国際会議

    M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fluctuation of plasma and amount of nanoparticles in TEOS amplitude modulated rf discharge 国際会議

    A. Yamamoto, I. Nagao, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Amplitude Modulation Discharge on Ion Energy and Angular Distributions studied by PIC-MCC method 国際会議

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Gas Pressure on Deposition Characteristics of Hydrogenated Amorphous Carbon Films Produced by Ar + CH4 Capacitively Coupled Plasma 国際会議

    S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Masaharu

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of thermal treatment of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated by magnetron sputtering 国際会議

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of RF powers on the crystal quality and surface morphology of single crystalline ZnO films deposited by magnetron sputtering 国際会議

    R. Mitsuishi, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Microscopic analysis of single crystalline Zn1-xMgxO thin films on sapphire grown via inverted Stranski-Krastanov mode 国際会議

    N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of lower discharge frequency on plasma parameters in capacitively coupled plasmas studied by particle-in-cell/Monte Carlo collision method 国際会議

    T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning 国際会議

    K. Kamataki, Y. Mido, I. Nagao, D. Yamashita, T. Okumura, N.Yamashita, K. Koga, N. Itagaki, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • A Study of Solid-phase Crystallization of Amorphous ZnON Films 国際会議

    Z. Shen, Y. Mido, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani1, H. Yabuta, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Microscopic analysis of single crystalline Zn1-xMgxO thin films on sapphire grown via inverted Stranski-Krastanov mode 国際会議

    N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Measurement of fluctuation of electric field in Ar plasmas using a fine particle trapped with laser tweezers 国際会議

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Fluctuation of plasma and amount of nanoparticles in TEOS amplitude modulated rf discharge 国際会議

    A. Yamamoto, I. Nagao, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Effects of thermal treatment of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated by magnetron sputtering 国際会議

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Effects of RF powers on the crystal quality and surface morphology of single crystalline ZnO films deposited by magnetron sputtering 国際会議

    R. Mitsuishi, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Effects of lower discharge frequency on plasma parameters in capacitively coupled plasmas studied by particle-in-cell/Monte Carlo collision method 国際会議

    T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Effects of Gas Pressure on Deposition Characteristics of Hydrogenated Amorphous Carbon Films Produced by Ar + CH4 Capacitively Coupled Plasma 国際会議

    S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Masaharu

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Effects of Amplitude Modulation Discharge on Ion Energy and Angular Distributions studied by PIC-MCC method 国際会議

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning 国際会議

    K. Kamataki, Y. Mido, I. Nagao, D. Yamashita, T. Okumura, N.Yamashita, K. Koga, N. Itagaki, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Sputtering deposition of single crystalline Zn1-xMgxO films on sapphire substrate via inverted Staranski-Krastanov mode: effects of substrate 国際会議

    K.Yataka, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Time resolved optical emission spectroscopy in Ar and Ar/Ne capacitively coupled radio frequency plasma. 国際会議

    M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Structural Characteristics of Carbon Sphere Composite Deposited by Ar+CH4 Plasma Chemical Vapor Deposition Method 国際会議

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. 0kumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani

    7th International Conference on Advances in Functional Materials(AFM-2022)  2023年1月 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • サファイア基板上への Zn1-xMgxO 膜のスパッタエピタキシー:ZnON/MgO バッファー層の効果

    湯上貴文, 矢高功太郎, 三石遼, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 薮田久人, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学筑紫キャンパス   国名:日本国  

  • 固相結晶成長した ZnO シード層上への ZnO:Al 膜のスパッタリング成膜

    和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲,白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学筑紫キャンパス   国名:日本国  

  • ナノインデンテーションを用いた a-C:H/CNP/a-C:H サンドイッチ構造膜の機械的強度評価

    田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学筑紫キャンパス   国名:日本国  

  • プラズマ照射を用いて窒素リッチ化した肥料で栽培したサトウキビの生育評価

    中尾匠,小野晋二郎,山本小龍,内野泰祐,奥村賢直,P. Attri,古閑一憲, 山下大輔,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学筑紫キャンパス   国名:日本国  

  • 水への CO₂プラズマ照射による選択的 CO 生成

    内野泰佑, アタリ パンカジ, 奥村賢直, 古閑一憲,山下大輔, 鎌滝普礼, 山下尚人, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学筑紫キャンパス   国名:日本国  

  • Ar/Ne/CH4 プラズマ CVD による a-C:H 成膜への Ne 混合効果

    池田築,大高真寛,大友洋,有馬聡明,頼建勲,鎌滝晋礼, 山下大輔,奥村賢直,山下尚人,板垣奈穂,古閑一憲,白谷正治, 進藤崇央,田中諭志,松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学筑紫キャンパス   国名:日本国  

  • Ar/Ne/CH4 プラズマ CVD による a-C:H 成膜への Ne 混合効果

    池田築, 大高真寛, 大友洋, 有馬聡明, 頼建勲, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語  

    開催地:九州大学筑紫キャンパス   国名:日本国  

    researchmap

  • サファイア基板上への Zn1-xMgxO 膜のスパッタエピタキシー:ZnON/MgO バッファー層の効果

    湯上貴文, 矢高功太郎, 三石遼, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲, 白谷正治, 薮田久人, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語  

    開催地:九州大学筑紫キャンパス   国名:日本国  

    researchmap

  • 水への CO₂プラズマ照射による選択的 CO 生成

    内野泰佑, アタリ パンカジ, 奥村賢直, 古閑一憲, 山下大輔, 鎌滝普礼, 山下尚人, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語  

    開催地:九州大学筑紫キャンパス   国名:日本国  

    researchmap

  • 固相結晶成長した ZnO シード層上への ZnO:Al 膜のスパッタリング成膜

    和田義晴, 沈志遠, 薮田久人, 山下尚人, 奥村賢直, 鎌滝晋礼, 木山治樹, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語  

    開催地:九州大学筑紫キャンパス   国名:日本国  

    researchmap

  • プラズマ照射を用いて窒素リッチ化した肥料で栽培したサトウキビの生育評価

    中尾匠, 小野晋二郎, 山本小龍, 内野泰祐, 奥村賢直, P. Attri, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語  

    開催地:九州大学筑紫キャンパス   国名:日本国  

    researchmap

  • ナノインデンテーションを用いた a-C:H/CNP/a-C:H サンドイッチ構造膜の機械的強度評価

    田渕竜也, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第26回 支部大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語  

    開催地:九州大学筑紫キャンパス   国名:日本国  

    researchmap

  • Influence of Seed Dormancy on Plasma Irradiation Effects on their Germination 国際会議

    K. Koga, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Kuchitsu, K. Ishikawa, M. Hori

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Amorphous In-Ga-Mg-O thin film: Optical, Electrical Properties and TFT characteristics 国際会議

    H.Yabuta, N. Itagaki, T. Ekino, Y. Shigesato

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Predictive Analytics in Impurity Mediated Amorphization Plasma Sputtering Process using Machine Learning tools and Techniques 国際会議

    F. L. Chawarambwa, M. Shiratani, K. Kamataki, N. Itagaki

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Electric fields measurement using an optically trapped charged particle in Ar plasma (Invited) 招待 国際会議

    K. Kamataki, T. Sato, k. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition 国際会議

    S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Amplitude Modulated Discharge on SiO2 film qualities in TEOS Plasma CVD 国際会議

    Y. Yamamoto, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Investigation of dual frequency method in capacitively coupled plasmas using particle-in-cell/Monte Carlo method 国際会議

    J. Lai, T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Measurement of the charge on single fine particles in plasma 国際会議

    K. Kamataki, T. Sato, K. Tomita, Y. Pan, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Discharge frequency dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas 国際会議

    K. Ikeda, M. Otaka, T. Arima, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Improvement of Germination Characteristics of Sorghum bicolor Seeds Irradiated by Surface Discharge Plasma 国際会議

    S. Yamamoto, T. Nakao, T. Anan, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Yanagawa, S. Shimada

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Plasma Irradiation-induced Molecular Modification of Quercetin as Plant Antioxidant 国際会議

    T. Anan, T. Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study on biomolecules extracted from plasma-irradiated plant seeds using quadrupole mass spectrometer 国際会議

    T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Amorphous In-Ga-Mg-O thin film: Optical, Electrical Properties and TFT characteristics 国際会議

    H.Yabuta, N. Itagaki, T. Ekino, Y. Shigesato

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Predictive Analytics in Impurity Mediated Amorphization Plasma Sputtering Process using Machine Learning tools and Techniques 国際会議

    F. L. Chawarambwa, M. Shiratani, K. Kamataki, N. Itagaki

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Plasma Irradiation-induced Molecular Modification of Quercetin as Plant Antioxidant 国際会議

    T. Anan, T. Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Measurement of the charge on single fine particles in plasma 国際会議

    K. Kamataki, T. Sato, K. Tomita, Y. Pan, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Investigation of dual frequency method in capacitively coupled plasmas using particle-in-cell/Monte Carlo method 国際会議

    J. Lai, T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Influence of Seed Dormancy on Plasma Irradiation Effects on their Germination 国際会議

    K. Koga, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Kuchitsu, K. Ishikawa, M. Hori

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Improvement of Germination Characteristics of Sorghum bicolor Seeds Irradiated by Surface Discharge Plasma 国際会議

    S. Yamamoto, T. Nakao, T. Anan, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Yanagawa, S. Shimada

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition 国際会議

    S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Electric fields measurement using an optically trapped charged particle in Ar plasma (Invited) 招待 国際会議

    K. Kamataki, T. Sato, k. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Effects of Amplitude Modulated Discharge on SiO2 film qualities in TEOS Plasma CVD 国際会議

    Y. Yamamoto, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Discharge frequency dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas 国際会議

    K. Ikeda, M. Otaka, T. Arima, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Study on biomolecules extracted from plasma-irradiated plant seeds using quadrupole mass spectrometer 国際会議

    T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    第32回日本MRS年次大会  2022年12月 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語  

    開催地:横浜市産業貿易センタービル   国名:日本国  

    researchmap

  • Sputter epitaxy of (ZnO)x(InN)1-x films on sapphire substrates using ZnON buffer layers

    Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki

    2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 二周波重畳容量結合並行平板放電の PIC-MCC シミュレーション:駆動周波数の効果

    有馬聡明, 頼建勲, 大高真寛, 池田築, 長尾伊織, 鎌滝晋礼, 山下大輔, 山下尚人, 板垣奈穂, 奥村賢直, 古閑一憲, 白谷正治

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学   国名:日本国  

  • Sputtering deposition of ZnO:Al Transparent Conducting Films on Seed Layers Crystallized via Thermal Annealing of Amorphous ZnON Films

    Z. Shen, H. Yabuta, Y. Wada, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Kiyama, N. Itagaki

    2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter epitaxy of (ZnO)x(InN)1-x films on sapphire substrates using ZnON buffer layers

    Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語  

    開催地:大分大学   国名:日本国  

    researchmap

  • 二周波重畳容量結合並行平板放電の PIC-MCC シミュレーション:駆動周波数の効果

    有馬聡明, 頼建勲, 大高真寛, 池田築, 長尾伊織, 鎌滝晋礼, 山下大輔, 山下尚人, 板垣奈穂, 奥村賢直, 古閑一憲, 白谷正治

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:日本語  

    開催地:大分大学   国名:日本国  

    researchmap

  • Sputtering deposition of ZnO:Al Transparent Conducting Films on Seed Layers Crystallized via Thermal Annealing of Amorphous ZnON Films

    Z. Shen, H. Yabuta, Y. Wada, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Kiyama, N. Itagaki

    2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語  

    開催地:大分大学   国名:日本国  

    researchmap

  • One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions 国際会議

    I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    DPS2022  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics 国際会議

    T. Anan, T. Nakao, T. Okumura, P. Attri, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori

    DPS2022  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics 国際会議

    T. Anan, T. Nakao, T. Okumura, P. Attri, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori

    DPS2022  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語  

    開催地:大阪国際会議場   国名:日本国  

    researchmap

  • One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions 国際会議

    I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    DPS2022  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語  

    開催地:大阪国際会議場   国名:日本国  

    researchmap

  • Enhancing output power of polymer electrolytes DSSCs with V-shape low­concentrated light systems 国際会議

    2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  • Enhancing output power of polymer electrolytes DSSCs with V-shape low­concentrated light systems 国際会議

    T. E. Putri, F. L. Chawarambwa, P. Attri, K. Kamataki, N. ltagaki, K. Koga, M. Shiratani

    PVSEC-33  2022年11月 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語  

    開催地:名古屋国際会議場   国名:日本国  

    researchmap

  • Study of electric field measurements in Ar plasmas using an optically trapped fine particle 国際会議

    T.Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N.Yamashita, N. Itagaki, K. Koga, M. Shiratani

    AAPPS-DPP 2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study of electric field measurements in Ar plasmas using an optically trapped fine particle 国際会議

    T.Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N.Yamashita, N. Itagaki, K. Koga, M. Shiratani

    AAPPS-DPP 2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:オンライン   国名:日本国  

    researchmap

  • The role of post-annealing treatment on ZnO:Co structure and magnetic transition grown by sputtering deposition 国際会議

    A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani

    ICIEE 2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:インドネシア共和国  

  • The role of post-annealing treatment on ZnO:Co structure and magnetic transition grown by sputtering deposition 国際会議

    A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani

    ICIEE 2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:Indonesia,Yogyakarta・オンライン   国名:インドネシア共和国  

    researchmap

  • Plasma irradiation-introduced RONS amount into plant seeds and their response analysis 国際会議

    T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth 国際会議

    K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(Invited) 招待 国際会議

    K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model 国際会議

    I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas 国際会議

    M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy 国際会議

    T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Plasma induced conversion of CO2 with water to useful compounds 国際会議

    P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode 国際会議

    M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation 国際会議

    S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy 国際会議

    T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation 国際会議

    S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas 国際会議

    M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Plasma irradiation-introduced RONS amount into plant seeds and their response analysis 国際会議

    T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Plasma induced conversion of CO2 with water to useful compounds 国際会議

    P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(Invited) 招待 国際会議

    K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model 国際会議

    I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth 国際会議

    K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode 国際会議

    M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki

    ICRP-11/GCE2022  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語  

    開催地:仙台国際センター   国名:日本国  

    researchmap

  • 固相結晶化シード層上へのZnO:Al透明導電膜のスパッタリング成膜:固相結晶化温度の影響

    沈志遠, 薮田久人, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • 休眠状態を考慮したレタス種子発芽へのプラズマ照射効果

    奥村賢直, 阿南輝樹, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • 大気圧空気プラズマを用いた肥料への窒素固定と圃場試験

    中尾匠, 奥村賢直, パンカジアトリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • サファイア基板上の単結晶ZnO薄膜作製におけるバッファー層評価指標

    山下尚人, 中村優太, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • 振幅変調放電法を用いたTEOSプラズマCVDへの効果

    山本晃大, 長尾伊織, 山本祐馬, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • Role of plasma treatment on radish seeds affects germination, morphology, and biochemical responses

    P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani

    2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(2)

    鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • 異なるMg組成を有する単結晶Zn­­1-xMgxO膜成長におけるZnONバッファー層の効果

    矢高功太郎, 高橋大智, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • 格子不整合サファイア基板上への単結晶ZnO膜のスパッタリング成膜: ZnONバッファー層の効果

    三石遼, 矢高功太郎, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • スパッタエピタキシー法による(ZnO)x(InN)1-x単結晶薄膜の室温成膜

    成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • Role of plasma treatment on radish seeds affects germination, morphology, and biochemical responses

    P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語  

    開催地:東北大学   国名:日本国  

    researchmap

  • 異なるMg組成を有する単結晶Zn­­1-xMgxO膜成長におけるZnONバッファー層の効果

    矢高功太郎, 高橋大智, 山下尚人, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • 格子不整合サファイア基板上への単結晶ZnO膜のスパッタリング成膜: ZnONバッファー層の効果

    三石遼, 矢高功太郎, 山下尚人, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • 振幅変調放電法を用いたTEOSプラズマCVDへの効果

    山本晃大, 長尾伊織, 山本祐馬, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • 大気圧空気プラズマを用いた肥料への窒素固定と圃場試験

    中尾匠, 奥村賢直, パンカジアトリ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷 正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • 固相結晶化シード層上へのZnO:Al透明導電膜のスパッタリング成膜:固相結晶化温度の影響

    沈志遠, 薮田久人, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測(2)

    鎌滝晋礼, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • 休眠状態を考慮したレタス種子発芽へのプラズマ照射効果

    奥村賢直, 阿南輝樹, アタリパンカジ, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • スパッタエピタキシー法による(ZnO)x(InN)1-x単結晶薄膜の室温成膜

    成重椋太, 山下尚人, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • サファイア基板上の単結晶ZnO薄膜作製におけるバッファー層評価指標

    山下尚人, 中村優太, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第83回応用物理学会秋季学術講演会  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語  

    開催地:東北大学   国名:日本国  

    researchmap

  • Impact of amplitude modulated discharge on suppression of nanoparticle growth in TEOS/O2/Ar capacitively coupled plasma 国際会議

    K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    IVC-22  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Impact of amplitude modulated discharge on suppression of nanoparticle growth in TEOS/O2/Ar capacitively coupled plasma 国際会議

    K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    IVC-22  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語  

    開催地:札幌コンベンションセンター   国名:日本国  

    researchmap

  • Eff ects of Modifi cation of Film Interface by Nanoparticles on Mechanical Properties of Films 国際会議

    S. Ono, S. H. Hwang, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of surface polarity of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated at room temperature 国際会議

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Control of Crystal Structure and Magnetic Properties of ZnO: Co Films Grown by Magnetron Sputtering Using Nitrogen 国際会議

    A. M. Nurut, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Eff ects of Amplitude Modulated RF Discharge on Properties of SiO2 Films Deposited by TEOS/O2/Ar Plasma-Enhanced Chemical Vapor Deposition 国際会議

    K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Control of Crystal Structure and Magnetic Properties of ZnO: Co Films Grown by Magnetron Sputtering Using Nitrogen 国際会議

    A. M. Nurut, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • Effects of surface polarity of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated at room temperature 国際会議

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • Eff ects of Modifi cation of Film Interface by Nanoparticles on Mechanical Properties of Films 国際会議

    S. Ono, S. H. Hwang, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • Eff ects of Amplitude Modulated RF Discharge on Properties of SiO2 Films Deposited by TEOS/O2/Ar Plasma-Enhanced Chemical Vapor Deposition 国際会議

    K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語  

    開催地:名古屋大学   国名:日本国  

    researchmap

  • Magnetic properties of Co-doped ZnO films fabricated by sputtering using mediated crystallization. 国際会議

    A. M. Nurut, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, N. Itagaki, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds 国際会議

    A. Pankaj, T. Okumura, F. L. Chawarambwa, T. E. Putri, N. Takeuti, K. Koga, K. Ishikawa, K. Kamataki, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Thermoplastic Polymer Electrolytes for DSSCs Performance Under V-Shape Low-Concentrated Light 国際会議

    T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatio-temporal structures of plasma density in lower driving rf frequency plasmas studied by particle-in-cell/Monte Carlo collision method 国際会議

    T. Arima, M.Otaka, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time resolved optical emission spectroscopy in Ar/Ne capacitively coupled radio frequency plasma 国際会議

    M. Otaka, D. Nagamatsu1, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Ion energy and angular distribution functions in amplitude modulated capacitively coupled Ar discharge plasma obtained using particle-in-cell Monte Carlo collision model simulation 国際会議

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds 国際会議

    A. Pankaj, T. Okumura, F. L. Chawarambwa, T. E. Putri, N. Takeuti, K. Koga, K. Ishikawa, K. Kamataki, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Magnetic properties of Co-doped ZnO films fabricated by sputtering using mediated crystallization. 国際会議

    A. M. Nurut, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, N. Itagaki, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Ion energy and angular distribution functions in amplitude modulated capacitively coupled Ar discharge plasma obtained using particle-in-cell Monte Carlo collision model simulation 国際会議

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Spatio-temporal structures of plasma density in lower driving rf frequency plasmas studied by particle-in-cell/Monte Carlo collision method 国際会議

    T. Arima, M.Otaka, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Time resolved optical emission spectroscopy in Ar/Ne capacitively coupled radio frequency plasma 国際会議

    M. Otaka, D. Nagamatsu1, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Thermoplastic Polymer Electrolytes for DSSCs Performance Under V-Shape Low-Concentrated Light 国際会議

    T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    IUMRS-ICYRAM 2022  2022年8月 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語  

    開催地:九州大学医学部百年講堂   国名:日本国  

    researchmap

  • Increase of Blocking Temperature in Co-doped ZnO by Using NitrogenMediated Crystallization 国際会議

    N. Yamashita, A. Agusutrisno, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    ICMFS-2022  2022年7月 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Increase of Blocking Temperature in Co-doped ZnO by Using NitrogenMediated Crystallization 国際会議

    N. Yamashita, A. Agusutrisno, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    ICMFS-2022  2022年7月 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:英語  

    開催地:OIST   国名:日本国  

    researchmap

  • TEOSプラズマSiO2成膜に及ぼす振幅変調放電の効果

    山本晃大、長尾伊織、山本祐馬、大高真寛、山下大輔、鎌滝晋礼、奥村賢直、山下尚人、板垣奈穂、古閑一憲、白谷正治

    2022年度日本表面真空学会 九州支部学術講演会  2022年6月 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • 大気圧空気プラズマで生成した硝酸態窒素の水表面への輸送評価

    中尾匠、奥村賢直、P. Attri、古閑一憲、山下大輔、鎌滝晋礼、山下尚人、板垣奈穂、白谷正治

    2022年度日本表面真空学会 九州支部学術講演会  2022年6月 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • 逆Stranski-Krastanovモードによる単結晶ZnO薄膜のスパッタリング成膜:窒素流量の影響

    三石遼, 山下尚人, 矢高功太郎, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    2022年度日本表面真空学会 九州支部学術講演会  2022年6月 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • TEOSプラズマSiO2成膜に及ぼす振幅変調放電の効果

    山本晃大, 長尾伊織, 山本祐馬, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    2022年度日本表面真空学会 九州支部学術講演会  2022年6月 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語  

    開催地:佐賀大学   国名:日本国  

    researchmap

  • 逆Stranski-Krastanovモードによる単結晶ZnO薄膜のスパッタリング成膜:窒素流量の影響

    三石遼, 山下尚人, 矢高功太郎, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    2022年度日本表面真空学会 九州支部学術講演会  2022年6月 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語  

    開催地:佐賀大学   国名:日本国  

    researchmap

  • 大気圧空気プラズマで生成した硝酸態窒素の水表面への輸送評価

    中尾匠, 奥村賢直, P. Attri, 古閑一憲, 山下大輔, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治

    2022年度日本表面真空学会 九州支部学術講演会  2022年6月 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語  

    開催地:佐賀大学   国名:日本国  

    researchmap

  • Development of Measurement of Two-Dimensional Distribution of Strength of Electrical Field with High Spatial Resolution Using Optical Trapped Particle in Plasma 国際会議

    K. Kamataki, S. Okunaga,T. Sato,K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Key parameters for single crystalline ZnO film growth by magnetron sputtering via inverted Stranski-Krastanov mode 国際会議

    N. Yamashita, Y. Nakamura, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Growth of Nanoparticles in TEOS rf Plasma with Amplitude Modulation 国際会議

    A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M.Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering 国際会議

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N.Itagaki

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Development of Measurement of Two-Dimensional Distribution of Strength of Electrical Field with High Spatial Resolution Using Optical Trapped Particle in Plasma 国際会議

    K. Kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:オンライン  

    researchmap

  • Key parameters for single crystalline ZnO film growth by magnetron sputtering via inverted Stranski-Krastanov mode 国際会議

    N. Yamashita, Y. Nakamura, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:オンライン  

    researchmap

  • Growth of Nanoparticles in TEOS rf Plasma with Amplitude Modulation 国際会議

    A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M.Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:オンライン  

    researchmap

  • Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering 国際会議

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N.Itagaki

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:オンライン  

    researchmap

  • Quantitative Evaluation through LC-QqQ MS/MS for RONS Induced into Dry Seeds by Non-Thermal Plasma Irradiation 国際会議

    T. Okumura , K. Koga , P. Attri , K. Kamataki , N. Yamashita , N. Itagaki, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition 国際会議

    S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Amplitude Modulation Frequency Dependence of Ion Energy Distribution in Capacitively Coupled Discharge Plasma Studied by Particle-in-Cell/Monte Carlo Collision Method 国際会議

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma 国際会議

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of rf Frequency on Plasma Density in Capacitively Coupled Plasmas at Low Pressure Studied by Particle-in-Cell/Monte Carlo Collision Method 国際会議

    T. Arima , T. Yang, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Time Resolved Optical Emission Spectroscopy in Ar and Ar/Ne Capacitively Coupled Radio Frequency Plasma 国際会議

    M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition 国際会議

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, N. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Epitaxial Growth of Single-Crystalline ZnO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode by Low-Power Magnetron Sputtering 国際会議

    R. Mitsuishi, N. Yamashita, D.Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Amplitude Modulation Frequency Dependence of Ion Energy Distribution in Capacitively Coupled Discharge Plasma Studied by Particle-in-Cell/Monte Carlo Collision Method 国際会議

    I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma 国際会議

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • Epitaxial Growth of Single-Crystalline ZnO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode by Low-Power Magnetron Sputtering 国際会議

    R. Mitsuishi, N. Yamashita, D.Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • Effects of rf Frequency on Plasma Density in Capacitively Coupled Plasmas at Low Pressure Studied by Particle-in-Cell/Monte Carlo Collision Method 国際会議

    T. Arima, T. Yang, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • Quantitative Evaluation through LC-QqQ MS/MS for RONS Induced into Dry Seeds by Non-Thermal Plasma Irradiation 国際会議

    T. Okumura, K. Koga, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • Time Resolved Optical Emission Spectroscopy in Ar and Ar/Ne Capacitively Coupled Radio Frequency Plasma 国際会議

    M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition 国際会議

    S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition 国際会議

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, N. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani

    MRS spring meeting 2022  2022年5月 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語  

    開催地:ホノルル  

    researchmap

  • 調湿レタス種子のラジカル量に与えるプラズマ照射の効果

    奥村賢直,阿南輝樹,パンカジアタリ, 古閑一憲, 鎌滝晋礼,山下尚人, 板垣奈穂, 白谷正治, 石橋勇

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学・オンライン   国名:日本国  

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測

    鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人,奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学・オンライン   国名:日本国  

  • プラズマ CVD 法を用いた a-C:H 薄膜製膜特性に対するガス圧力・基板位置の効果

    小野晋次郎, 吉川大智, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 呉準席, 鷹林将, 中谷達行

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学・オンライン   国名:日本国  

  • CNP 層を挿入した a-C:H 膜の応力低減に対する CNP 被覆率の効果

    吉川大智, 小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 鷹林将,呉準席, 中谷達行

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学・オンライン   国名:日本国  

  • 植物へのプラズマ照射効果解明に向けたゼニゴケ実験系の確立

    古閑一憲, 坪山祥子,田川雄大, 中尾匠,田中颯,阿南輝樹,奥村賢直 ,P. Attri,鎌滝晋礼,山下尚人 ,板垣奈穂 ,白谷正治 ,朽津和幸

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学・オンライン   国名:日本国  

  • CNP 層を挿入した a-C:H 膜の応力低減に対する CNP 被覆率の効果

    吉川大智, 小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 鷹林将, 呉準席, 中谷達行

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語  

    開催地:青山学院大学・オンライン   国名:日本国  

    researchmap

  • プラズマ CVD 法を用いた a-C:H 薄膜製膜特性に対するガス圧力・基板位置の効果

    小野晋次郎, 吉川大智, 黄成和, 奥村賢直, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治, 呉準席, 鷹林将, 中谷達行

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語  

    開催地:青山学院大学・オンライン   国名:日本国  

    researchmap

  • 調湿レタス種子のラジカル量に与えるプラズマ照射の効果

    奥村賢直, 阿南輝樹, パンカジアタリ, 古閑一憲, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 石橋勇

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語  

    開催地:青山学院大学・オンライン   国名:日本国  

    researchmap

  • 植物へのプラズマ照射効果解明に向けたゼニゴケ実験系の確立

    古閑一憲, 坪山祥子, 田川雄大, 中尾匠, 田中颯, 阿南輝樹, 奥村賢直, P. Attri, 鎌滝晋礼, 山下尚人, 板垣奈穂, 白谷正治, 朽津和幸

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語  

    開催地:青山学院大学・オンライン   国名:日本国  

    researchmap

  • 光ピンセットによる捕捉微粒子を用いたArプラズマ中の電場強度分布及び電場揺動計測

    鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, P. Yiming, 山下大輔, 山下尚人, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    第69回応用物理学会春季学術講演会  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語  

    開催地:青山学院大学・オンライン   国名:日本国  

    researchmap

  • プラズマCVDを用いて堆積した薄膜のナノ構造化による機械的特性制御

    古閑一憲,黄成和,小野晋次郎,吉川大智, 奥村賢直,鎌滝晋礼,山下尚人,板垣奈穂,白谷正治

    日本物理学会 第77回年次大会(2022年)  2022年3月 

     詳細を見る

    開催年月日: 2022年3月 - 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Deposition of Carbon Nanoparticles of 5 nm in Size on Positively Biased Substrates using Multi-Hollow Discharge Plasma CVD Method 国際会議

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ISPlasma2022/IC-PLANTS2022  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds 国際会議

    H. Tanaka, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Itagaki, M. Shiratani, Y. Ishibashi, M. Nakano, K. Namiki, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, K. Koga

    ISPlasma2022/IC-PLANTS2022  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Carbon Nanoparticles of 5 nm in Size on Positively Biased Substrates using Multi-Hollow Discharge Plasma CVD Method 国際会議

    K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani

    ISPlasma2022/IC-PLANTS2022  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語  

    開催地:オンライン   国名:日本国  

    researchmap

  • Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds 国際会議

    H. Tanaka, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Itagaki, M. Shiratani, Y. Ishibashi, M. Nakano, K. Namiki, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, K. Koga

    ISPlasma2022/IC-PLANTS2022  2022年3月 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語  

    開催地:オンライン   国名:日本国  

    researchmap

  • 異なる状態の混合粉末ターゲットによるAlドープ酸化亜鉛薄膜のスパッタリング堆積

    大島多美子, 日比野祐介,猪原武士,柳生義人, 佐竹卓彦, 川崎 仁晴, 青木 振一, 板垣奈穂, 古閑一憲, 白谷正治

    2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマ照射肥料を用いて栽培した植物の成長解析

    奥村 賢直, アタリバンカジ,中尾匠, 田中颯, 鎌滝晋礼, 山下尚人, 古閑ー憲, 板垣奈穂, 白谷正治, 竹内希

    2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマ照射による酸化還元反応に対する種子内水分量の効果

    阿南 輝樹, 田中颯,奥村賢直, アタリパンカジ, 中尾匠, 鎌滝晋礼,山下尚人, 板垣奈穂,古閑ー憲, 白谷 正治

    2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • AM変調放電を圧いたTEOS プラズマにおけるナノ粒子成長とプラズマ生成の関係についての研究

    鎌滝晋礼, 阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 奥村賢直, 板垣奈穂, 古閑ー憲, 白谷正治

    2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • AM変調放電を圧いたTEOS プラズマにおけるナノ粒子成長とプラズマ生成の関係についての研究

    鎌滝晋礼, 阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 奥村賢直, 板垣奈穂, 古閑ー憲, 白谷正治

    SPP-39/SPSM34  2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語  

    開催地:オンライン   国名:日本国  

    researchmap

  • プラズマ照射による酸化還元反応に対する種子内水分量の効果

    阿南 輝樹, 田中颯, 奥村賢直, アタリパンカジ, 中尾匠, 鎌滝晋礼, 山下尚人, 板垣奈穂, 古閑ー憲, 白谷 正治

    SPP-39/SPSM34  2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語  

    開催地:オンライン   国名:日本国  

    researchmap

  • 異なる状態の混合粉末ターゲットによるAlドープ酸化亜鉛薄膜のスパッタリング堆積

    大島多美子, 日比野祐介, 猪原武士, 柳生義人, 佐竹卓彦, 川崎 仁晴, 青木 振一, 板垣奈穂, 古閑一憲, 白谷正治

    SPP-39/SPSM34  2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語  

    開催地:オンライン   国名:日本国  

    researchmap

  • プラズマ照射肥料を用いて栽培した植物の成長解析

    奥村 賢直, アタリバンカジ, 中尾匠, 田中颯, 鎌滝晋礼, 山下尚人, 古閑ー憲, 板垣奈穂, 白谷正治, 竹内希

    SPP-39/SPSM34  2022年1月 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語  

    開催地:オンライン   国名:日本国  

    researchmap

  • 光ピンセット技術を用いたプラズマ中微粒子への作用力の絶対値校正

    佐藤斗真, 奥永冴京, 鎌滝晋礼, 富田健太郎, P. Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Solid-phase Crystallization of Sputter-deposited ZnON Films and Their Impacts as Seed Layers for ZnO:Al Transparent Conducting Oxides

    Z. Shen, N. Yamashita, Y. Mido, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 逆 Stranski-Krastanov モードを利用した単結晶 ZnMgO 薄膜のスパッタリング成膜

    矢髙功太郎, 山下尚人, 髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 平行平板容量結合高周波放電プラズマの AM 変調周波数依存性に関する PICMCC シミュレーション

    長尾伊織, 阿部滉平, 山本晃大, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • PIC-MCCM を用いた平行平板容量結合プラズマに対する放電周波数依存性に関する研究

    陶陽, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 山下尚人, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 平行平板容量結合高周波プラズマ発光の時空間分解計測

    大高真寛, 有馬聡明, 永松大樹, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • N2/SiH4 flow rate ratio dependence of nanoparticle incorporation in SiNx films deposited by plasma CVD 国際会議

    M. Shiratani, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of rf bias on the film property of amorphous silicon oxide films deposited by plasma enhanced chemical vapor deposition 国際会議

    A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Structural Analysis of Hydrogenated Amorphous Carbon Films Deposited by Capacitively Coupled Plasma Chemical Vapor Deposition 国際会議

    S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of pulse rf discharge on carbon dioxide methanation in plasma catalytic method 国際会議

    M. Ideguchi, T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of intraseed moisture and plasma irradiation on lettuce seed surface 国際会議

    T. Okumura, T. Anan, H. Tanaka, D. Yamashita, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Y. Fujimoto, M. Kumauchi, H. Matsui

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Ion Energy Distribution Function in DC Pulse biased Capacitively Coupled Plasma Discharge by using Particle-In-Cell/Monte Carlo Collision Model 国際会議

    K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Investigation of 2D electric field measurements in Ar plasmas using a fine particle trapped with laser tweezers 国際会議

    K. Kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study of Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma 国際会議

    T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Calibration of force acting on an optically trapped particle in Ar plasma 国際会議

    S. Okunaga, T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N.Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Structural analysis of carbon nanoparticle composite films prepared by Ar+CH4 multi-hollow plasma chemical vapor deposition 国際会議

    S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Measurements of Radial and Vertical Electric Field in Capacitively Coupled Plasma 国際会議

    Y.Tao, D. Nagamatsu, K. Kamataki, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Possible plasma oxidation effect on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2): A computational study 国際会議

    K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, P. Attri

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Developing Prediction of Key Process Parameters of Plasma CVD for Fabricating a-Si:H Solar Cells through Boosting Technique 国際会議

    F. Chen, K. Kamataki, Y. Tao, S. Okunaga, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマ照射された植物種子に関する物理的、化学的および分子生物学的研究(招待講演) 招待

    奥村賢直, アトリ パンカジ,石橋勇志, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • ポッケルスセルを用いたスケーラブル誘電体バリア放電により生じる電界の測定

    田川雄大, 奥村賢直, 鎌滝晋礼, A. Pankaj, 山下大輔, 古閑一憲, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • スケーラブル誘電体バリア放電による対象物への活性酸素窒素種暴露量の評価

    中尾匠, 阿南輝樹, 田中颯, 奥村賢直, 山下大輔, A. Pankaj, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 水素と触媒を用いない低圧窒素プラズマを用いた窒素肥料作製

    古閑一憲, アタリ パンカジ, 奥村賢直, 竹内希, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第31回日本MRS年次大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 電子常磁性共鳴法を用いたレタス種子内ラジカル量のプラズマ照射時間依存性

    阿南輝樹, 田中颯, 奥村賢直, A. Pankaj, 山下大輔, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 石橋勇志, 白谷正治

    第31回日本MRS年次大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • イネ種子へのプラズマ照射がDNAメチル化レベルに及ぼす影響

    田中颯, 阿南輝樹, 奥村賢直, A. Pankaj, 古閑一憲, 鎌滝晋礼, 板垣奈穂, S. Chetphilin, 石橋勇志, 白谷正治

    第31回日本MRS年次大会  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Investigation of Growth Suppression Mechanism of Nanoparticles through Amplitude Modulation Discharge Method in TEOS Plasma 国際会議

    K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani

    the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • Flowing Electrolyte System of Bifacial Dye-Sensitized Solar Cells Under Low-Concentrated Light 国際会議

    T. E. Putri, L. F. Chawarambwa, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    MRS fall meeting 2021  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • High-temperature Growth of Amorphous In O :Sn Films by Magnetron Sputtering using Nitrogen 国際会議

    Y. Mido, K. Takeda, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, M. Hori, N. Itagaki

    MRS fall meeting 2021  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering 国際会議

    R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    MRS fall meeting 2021  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Inverted Stranski-Krastanov Growth of Single-Crystalline Zn Mg O Films on Sapphire Substrates using Magnetron Sputtering 国際会議

    D. Takahashi, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    MRS fall meeting 2021  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of Plasma Irradiation to Moistened Seeds on Radical Amount 国際会議

    T. Anan, H. Tanaka,T. Okumura, P. Attri,D. Yamashita,K. Kamataki,K. Koga, N. Itagaki, M. Shiratani, Y.Ishibashi

    The 6th Asian Applied Physics Conference (Asian-APC)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of RF power 国際会議

    R. Mitsuishi, D. Takahashi, D. Yamashita, T. Okumura, N. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 6th Asian Applied Physics Conference (Asian-APC)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 種子に導入された大気圧空気プラズマ起因活性種の高感度微量定量測定法の創成

    奥村賢直,パンカジアトリ,古閑一憲,鎌滝晋礼,板垣奈穂,白谷正治

    プラズマ・核融合学会 第38回年会  2021年11月 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 植物照射用スケーラブル誘電体バリア放電の生成粒子の計測

    古閑一憲,田川雄大,中尾匠,阿南輝樹,田中颯,奥村賢直,P. Attri,鎌滝晋礼,板垣奈穂,白谷正治,坪山祥子,橋本研志,朽津和幸

    プラズマ・核融合学会 第38回年会  2021年11月 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Better step coverage of TEOS-PECVD SiO2 films realized by amplitude modulation of RF discharge voltage 国際会議

    K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, S. Tahara, Y. Mizokami, Y. Miyata, K. Tabuchi, T. Tanikuni, S. Hiyama, K. Nagahata

    42nd International Symposium on Dry Process (DPS2021)  2021年11月 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Reduction of compressive stress of hydrogenated amorphous carbon films by inserting carbon nanoparticle layer using plasma CVD 国際会議

    S. H. Hwang, S. Ono, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, J.-S. Oh, S. Takabayashi, T. Nakatani

    42nd International Symposium on Dry Process (DPS2021)  2021年11月 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study of effect of amplitude modulated discharge on growth of nanoparticles in TEOS plasma 国際会議

    M. Shiratani, K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga

    42nd International Symposium on Dry Process (DPS2021)  2021年11月 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Low-stress diamond-like carbon films containing carbon nanoparticles produced by combining rf sputtering and plasma chemical vapor deposition 国際会議

    S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    Japan-RUB Workshop  2021年11月 

     詳細を見る

    開催年月日: 2021年10月 - 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Molecular Analysis of Plasma-Induced Germination Improvement of Rice Seeds With High-Temperature Stress Damage 国際会議

    K. Koga, Y. Ishibashi, C. Suriyasak, T. Okumura, H. Tanaka, P. Attri, K. Matsuo, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani

    AVS67  2021年10月 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Transport of Nanoparticles in Afterglow Region Using Multi-Hollow Discharge Plasma CVD 国際会議

    K. Koga, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani

    74th Annual Gaseous Electronics Conference  2021年10月 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effect of plasma treatment on MDM2 and p53 expression in cancer cells 国際会議

    5th Asia-Pacific Conference on Plasma Physics  2021年9月 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study on measurement of strength and fluctuation of electrical field using optical trapped particle in Ar plasma 国際会議

    K. kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    5th Asia-Pacific Conference on Plasma Physics  2021年9月 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Suppression of nanoparticle growth in TEOS plasma by amplitude modulation discharge method 国際会議

    K. Abe, A.Yamamoto, I.Nagao, M.Otaka, D.Yamashita, K.Kamataki, T.Okumura, N.Itagaki, K.Koga, M.Shiratani

    5th Asia-Pacific Conference on Plasma Physics  2021年9月 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • スケーラブル誘電体バリア放電プラズマで発生した活性種量評価

    中尾匠, 阿南輝樹, 田中颯, 奥村賢直, P. Attri, 鎌滝晋礼, 古閑一憲, 板垣奈穂, 白谷正治

    第74回電気・情報関係学会九州支部連合大会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • スケーラブル誘電体バリア放電プラズマの特性評価

    田川雄大, 奥村賢直, 鎌滝晋礼, P. Attri, 古閑一憲, 板垣奈穂, 白谷正治

    第74回電気・情報関係学会九州支部連合大会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 2D electric field measurements in Ar plasmas using a fine particle trapped with optical tweezers 国際会議

    S. Okunaga, K. Kamataki, K. Tomita, Y. Pan, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Plathinium 2021  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Relation between CH4 yield and CO emission intensity gradient in plasma-assisted CO2 methanation 国際会議

    M. Ideguchi, T. Hasegawa, K. Kamataki, S. Toko, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Plathinium 2021  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Epitaxial growth of (ZnO)X(InN)1-X films by magnetron sputtering: effects of surface polarity of ZnO substrates 国際会議

    R. Narishige, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    Plathinium 2021  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • 窒素添加スパッタ法による高移動度アモルファスIn2O3:Sn薄膜の高温成膜

    御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマCVDによるi/n a-Si:H/SiN反射防止パッシベーション膜の特性

    布村正太, 坂田功, 佐藤愛子, ロザック ミカエ, 三沢達也, 板垣奈穂, 白谷正治

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 振幅変調放電プラズマ中の光捕捉微粒子の挙動に関する研究

    鎌滝晋礼, 奥永冴京, 佐藤斗真, 富田健太郎, Pan Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Ar+CH4平行平板型プラズマCVDで作製したカーボンナノ粒子サイズに対するガス圧力の効果

    古閑一憲, 黄成和, 小野晋次郎, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 鷹林将, 呉準席, 中谷達行, 白谷正治

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 液体クロマトグラフ-トリプル四重極質量分析計を用いたプラズマ照射種子内植物ホルモンの定量分析

    奥村賢直, アトリ パンカジ, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • マグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表面モフォロジーに及ぼす基板極性の影響

    成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣 奈穂

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • ZnONバッファー層を用いたサファイア基板上への単結晶Zn1-xMgxO薄膜の成長

    高橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第82回応用物理学会秋季学術講演会  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Effects of Carbon Nanoparticles Inserted between Two Diamond Like Carbon Layers Films on Residual Stress of Films Deposited by Plasma Chemical Vapor Deposition 国際会議

    S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani

    INTERFINISH 2020  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Production of Hollow Carbon Nanoparticles using Multi-Hollow Discharge Plasma CVD 招待 国際会議

    K. Koga, S. H. Hwang, T. Okumura, P. Attri, K. Kamataki, N. Itagaki, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani

    INTERFINISH 2020  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 容量結合型プラズマ化学気相堆積法を用いて製膜された水素化アモルファスカーボン膜(a-C:H)の構造解析

    小野晋次郎, 黄成和, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第15回プラズマエレクトロニクスインキュベーションホール  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • スパッタ法によるサファイア基板上への原子平坦なZnMgO薄膜の作製

    髙橋大智, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和3年度日本表面真空学会九州支部学術講演会  2021年6月 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • レタス種子表面状態に対する種子内水分およびプラズマ照射の影響

    阿南輝樹, 田中颯, 山下大輔, 奥村賢直, Pankaj Attri, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享

    令和3年度日本表面真空学会九州支部学術講演会  2021年6月 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Particle-In-Cell モンテカルロ衝突モデルによる容量結合型Arプラズマにおける下部バイアス電圧印加シミュレーション

    阿部滉平, 山本晃大, 長尾伊織, 大高真寛, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和3年度日本表面真空学会九州支部学術講演会  2021年6月 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 窒素添加スパッタ法による高移動度アモルファス In2 O3 :Sn 薄膜の作製

    御堂雄大, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和3年度日本表面真空学会九州支部学術講演会  2021年6月 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • (ZnO)x (InN)1-x 膜のスパッタエピタキシーに及ぼす基板表面極性の影響

    成重椋太, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    令和3年度日本表面真空学会九州支部学術講演会  2021年6月 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Structure control ofa:C-H film nanocomposite using a combination of rf sputtering and plasma CVD 招待 国際会議

    K. Koga, S. H. Hwang, K. Kamataki, P. Attri, N. Itagaki, M. Shiratani

    Thermec2021  2021年6月 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストリア共和国  

  • High Throughput Deposition of Hydrogenated Amorphous Carbon Films using High-Pressure Ar+CH4 Plasmas 国際会議

    ICMCTF2021  2021年4月 

     詳細を見る

    開催年月日: 2021年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Arプラズマ中の光捕捉微粒子への作用力の研究

    鎌滝晋礼,奥永冴京, 岩本 亮介, 富田 健太郎, P.Yiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷 正治

    第68回応用物理学会春季学術講演会  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • マグネトロンスパッタリング法による(ZnO)x(InN)1-x膜のエピタキシャル成長:基板の面極性の影響

    成重椋太, 金島健太郎, 浦川 聖一, 山下大輔, 鎌滝晋礼, 奥村賢直, 古閑一憲, 白谷正治, 板垣奈穂

    応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • ナノ粒子取り込みによる SiNx の低温プラズマ CVD 製膜

    佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 任意波形励起プラズマ CVD 法による a-C:H 膜質の制御

    岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 進藤崇央, 田中諭志, 松土龍夫

    応用物理学会プラズマエレクトロニクス分科会30周年記念シンポジウム  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマを用いてカーボンナノ粒子層を含むミルフィーユ型 a-C:H 膜の機械的特性

    古閑一憲, 黄成和, Y.Hao, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治

    第68回応用物理学会春季学術講演会  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマCVD中飛行時間によるカーボンナノ粒子サイズ制御

    古閑一憲, S. H. Hwang, Y. Hao, P. Attir, 奥村賢直,鎌滝晋礼,板垣奈穂, 白谷正治

    日本物理学会第76回年次大会  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Electric Field Measurements in Ar plasmas using a Fine Particle Trapped with Optical Tweezers 国際会議

    S. Okunaga, K. Kamataki, K. Tomita, P. Yimin, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    ISPlasma2021/IC-PLANTS2021  2021年3月 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 調湿レタス種子の発芽に及ぼす大気圧プラズマ照射の影響

    奥村 賢直, 阿南 輝樹, 田中 颯, 有田 涼, 山下 大輔, アトリ パンカジ, 鎌滝 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治, 藤本 祉史, 熊内 雅人, 松井 英享, 石橋 勇志

    2021年1月 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Sputter deposition of ZnAlO films with tunable bandgaps from 3.4 to 6.1 eV 国際会議

    ICMAP 2020 & ISFM 2020  2021年1月 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effects of surface polarity of ZnO substrates on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films 国際会議

    R. Narishige, K. Kaneshima, S. Urakawa, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021年1月 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen mediate amorphization method: Effects of nitrogen flow rate 国際会議

    Y. Mido, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021年1月 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Structural and Optical Properties of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy 国際会議

    D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021年1月 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Impact of surface morphologies of 3D island layers on the single crystal growth of magnetron sputtered ZnO films 国際会議

    Y. Nakamura, M. Kikuchi, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagak

    ICMAP 2020 & ISFM 2020  2021年1月 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Growth of high-quality (ZnO)x(InN)1-x films by RF magnetron sputtering using a two-step growth process 国際会議

    K. Kaneshima, S. Urakawa, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ICMAP 2020 & ISFM 2020  2021年1月 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Low temperature fabrication of SiO2 films using capacitively coupled TEOS plasma 国際会議

    Y. Sasaki, T. Yoshida, K. Abe, K. Yamamoto, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    The 21st Workshop on Fine Particle Plasmas  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study on Film Deposition Process using Spatial Profiles of Plasma Parameters and Nanoparticles in Reactive Plasma 国際会議

    K. Kamataki, T. Yoshida, Y. Sasaki, K. Abe, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 21st Workshop on Fine Particle Plasmas  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • ITO薄膜のスパッタ製膜プロセスへの機械学習の適用

    陳飛宇, 岩本亮介, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • PIC-MCCMを用いたCCPにおけるIEDに関する研究

    阿部滉平, 陶陽, 岩本亮介, 佐々木勇輔, 吉田知晃, 山下大輔, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • レタス種子の発芽特性に及ぼす調湿・プラズマ照射時間依存性

    阿南輝樹, 田中颯, 有田涼, 山下大輔, 奥村賢直, アトリ パンカジ, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 石橋勇志, 藤本祉史, 熊内雅人, 松井英享

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • アルゴンプラズマ中光捕捉微粒子への作用力の校正

    奥永 冴京, 鎌滝 晋礼, 富田 健太郎, Pan Yiming, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治,

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • CCPにおける径方向電場Eyの計測の試み

    陶陽, 岩本亮介, 阿部滉平, 鎌滝晋礼, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • スパッタエピタキシー法による(ZnO)X(InN)1-X膜の作製: 高温バッファー層の効果

    寺澤寛, 金島健太郎, 成重椋太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Ar/N2スパッタリングによるサファイア基板上へのZnO単結晶成長:O-poorバッファー層の効果

    松本翔剛, 髙橋大智, 中村優太, 山下大輔, 奥村賢直, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Ar+CH4 マルチホロー放電プラズマCVDで作製したカーボンナノ粒子のフラックスに対する熱泳動力の効果

    郝源, 𠮷川大智, 黄成和, 古閑一憲, 白谷正治, 鎌滝晋礼, 板垣奈穂, 奥村賢直

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマ CVD におけるナノ粒子成長とプラズマ生成の関係

    吉田知晃, 阿部滉平, 佐々木勇輔, 山本晃大, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • TEOSプラズマCVDを用いたSiO2膜の形成:基板バイアス電圧依存性

    山本晃大, 吉田智晃, 阿部滉平, 佐々木勇輔, 山下大輔, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 容量結合プラズマCVD法によるSiO2膜の低温製膜

    佐々木勇輔, 吉田知晃, 阿部滉平, 山本晃大, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 容量結合プラズマ触媒法によるサバティエ反応:圧力依存性

    長谷川大樹, 出口雅志, 都甲将, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和2年度プラズマ・核融合学会 九州・沖縄・山口支部 第24回支部大会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Impact of Plasma Treatment on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2) 国際会議

    P. Attri, K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani

    2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Nitric Acid Generation by Pulsed Needle-water Discharge Plasma 国際会議

    H. Tanaka, R. Kogawa, Y. Oba, M. Fujita, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kamataki, N. Itagaki

    2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Impact of Plasma Treatment Time on the Barley Seeds using Electron Paramagnetic Resonance 国際会議

    K. Koga, P. Attri, T. Anan, R. Arita, H. Tanaka, T. Okumura, D. Yamashita, K. Matsuo, K. Kanataki, N. Itagaki, M. Shiratani, Y. Ishibashi

    2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Growth of Rice Cultivated in Field from Plasma-irradiated Seeds and Its Health Assessment for rats 国際会議

    T. Okumura, H. Tanaka, R. Arita, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマ触媒法を用いたCO2のCH4化反応におけるプラズマ発光強度の空間分布

    出口雅志, 山下大輔, 都甲将, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第37回 プラズマ・核融合学会 年会  2020年12月 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  • Sputter deposition of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature 国際会議

    Y. Midou, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマ触媒メタン化におけるメタン収率とプラズマ発光強度空間分布の関係

    出口雅志,長谷川 大樹,山下 大輔,鎌滝 晋礼,板垣 奈穂,古閑 一憲,白谷 正治,都甲 将,寒川 誠二

    2020年(令和2年度 )応用物理学会九州支部学術講演会  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • Effect of Concave Concentrator and Plane Reflector Mirror to Increase Efficiency of Bifacial Dye-Sensitized Solar Cells 国際会議

    T. E. Putri, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of substrate surface polarity on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-xfilms 国際会議

    R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki,T. Okumura, K. Koga, M. Shiratani, N. Itagaki

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Bandgap Tuning of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy 国際会議

    D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita,T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 5t h Asian Applied Physics Conference (Asian-APC)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Information on electric field deduced using a fine particle trapped with laser tweezers in Ar plasma 国際会議

    K. Kamataki, S. Okunaga, K. Tomita, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani

    The 73rd Annual Gaseous Electronics Conference  2020年10月 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Role of seed coat color and harvest year on growth enhancement by plasma irradiation to seeds 国際会議

    K. Koga, P. Attri, K. Ishikawa, T. Okumura, K. Matsuo, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene

    The 73rd Annual Gaseous Electronics Conference  2020年10月 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • マルチホロー放電プラズマ CVDを用いて作製したカーボンナノ粒子輸送量に対する電極基板間距離の効果

    古閑一憲, S. H. Hwang, 奥村賢直, Y. Hao, 山下大輔, 松尾かよ, 板垣奈穂, 鎌滝晋礼, 白谷正治

    2020年度(第73回)電気・情報関係学会九州支部連合大会  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマCVD技術文献のテキストマイニングを用いた単語のインパクトの解析

    古閑一憲, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 白谷正治, 谷口雄太, 池田大輔

    第81回応用物理学会秋季学術講演会  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 高温障害を持つイネ種子の発芽特性へ及ぼす誘電体バリア放電プラズマ照射の影響

    奥村 賢直, 石橋 勇志, C. Suriyasak, 田中 颯, 佐藤 僚哉, 有田 涼, 廣松 真弥, 古閑 一憲, P. Attri, 松尾 かよ, 山下 大輔, 板垣 奈穂, 鎌滝 晋礼,白谷 正治

    第81回応用物理学会秋季学術講演会  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 光ピンセットによる捕捉微粒子を用いたアルゴンプラズマの等電場面計測

    奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, PanYiming, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第81回応用物理学会秋季学術講演会  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • メタンプラズマCVDを用いたホローカーボンナノ粒子のワンステップ作製

    Y. Hao, S. H. Hwang, 古閑一憲, 鎌滝晋礼, 板垣奈穂, 中谷達行, 白谷正治

    第81回応用物理学会秋季学術講演会  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマCVDを用いたa-Si:H堆積薄膜中のSi-H/Si-H2結合形成の活性化エネルギー

    古閑一憲, 鎌滝晋礼, 奥村賢直, 板垣奈穂, 白谷正治

    日本物理学会 2020年秋季大会  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • 低温環境下におけるプラズマCVDによる高品質保護膜の作製

    鎌滝晋礼, 吉田知晃, 佐々木勇輔, 阿部滉平, 岩本亮介, 山下大輔, 奥村賢直, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会 2020年秋季大会  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  • プラズマと薄膜のナノ界面相互作用による結合形成の活性化エネルギー評価

    古閑一憲, 原尚志, 鎌滝晋礼, 板垣奈穂, 白谷正治

    日本物理学会第75回年次大会(2020年)  2020年3月 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • 低温成膜における反応性プラズマ中のナノ粒子制御

    鎌滝晋礼, 吉田知晃, 阿部滉平, 佐々木勇輔, 永石翔大, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会第75回年次大会(2020年)  2020年3月 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • 光ピンセットによるプラズマ中捕捉微粒⼦の揺動スペクトル解析

    鎌滝晋礼, 奥永冴京, 岩本亮介, 富田健太郎, 山下⼤輔, 板垣奈穂, 古閑一憲, 白谷正治

    第67回応用物理学会春季学術講演会  2020年3月 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学   国名:日本国  

  • ⾮平衡プラズマを⽤いたサイズ制御したカーボンナノ粒⼦の連続作 製と堆積

    古閑⼀憲, 黄成和, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治

    第67回応用物理学会春季学術講演会  2020年3月 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学   国名:日本国  

  • プラズマ照射したカイワレ種⼦の吸⽔の時間推移評価

    石川健治, P. Attri, 奥村賢直, 古閑⼀憲, 有田涼, 佐藤僚哉, 田中颯, 廣松真弥, 松尾かよ, 山下大輔, 鎌滝晋礼, 板垣奈穂, 堀勝, 白谷正治

    第67回応用物理学会春季学術講演会  2020年3月 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学   国名:日本国  

  • ⾼温障害を持つイネ種⼦の発芽特性に対するプラズマ照射の効果

    古閑⼀憲, 石橋勇志, S. Chetphilin, 田中颯, 佐藤僚哉, 有田涼, 廣松真弥, 石川健治, P. Attri, 松尾かよ, 山下⼤輔, 板垣奈穂, 鎌滝晋礼, 白谷正治

    第67回応用物理学会春季学術講演会  2020年3月 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学   国名:日本国  

  • Effects of substrates on the epitaxial growth of (ZnO)x(InN)1-x films 国際会議

    R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    ISPlasma2020/IC-PLANTS2020  2020年3月 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Low temperature fabrication of passivation films by plasma enhanced CVD 招待 国際会議

    K. Kamataki, Y. Sasaki, S. Nagaishi, T. Yoshida, K. Abe, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020年1月 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Methane production for energy storage using low temperature plasma (Invited) 招待 国際会議

    M. Shiratani, M. Ideguchi, A. Yamamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020年1月 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs) 国際会議

    T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020年1月 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Si3N4/Carbon based PEDOT:PSS Counter Electrode for low-cost Dye-Sensitized Solar Cells 国際会議

    F. L. Chawarambwa, T. E. Putri, Y. Hao, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application  2020年1月 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • プラズマ照射したカイワレダイコン種子の発芽促進機構-吸水時の種子内ラジカル動態-

    有田涼, 田中颯, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:B-ConPlaza, 別府   国名:日本国  

  • イネ種子に対するプラズマ照射効果のフィールドテスト

    田中颯, 有田涼, 廣松真弥, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:B-ConPlaza, 別府   国名:日本国  

  • レタス幼苗重量分布に対する種子エイジングとプラズマ照射の効果

    廣松真弥, 有田涼, 田中颯, 佐藤僚哉, 松尾かよ, 山下大輔, 板垣奈穂, 鎌滝晋礼, Pankaj Attri, 石川健治, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:B-ConPlaza, 別府   国名:日本国  

  • パルス変調プラズマ触媒法による二酸化炭素のメタン化

    出口雅志, 山本瑛久, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:B-ConPlaza, 別府   国名:日本国  

  • 光ピンセットによる微粒子トラップを用いたアルゴンプラズマ電場の精密測定

    奥永冴京, 岩本亮介, 鎌滝晋礼, 富田健太郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:B-ConPlaza, 別府   国名:日本国  

  • 反応性プラズマのAM変調における発光強度分布

    阿部滉平, 吉田知晃, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:B-ConPlaza, 別府   国名:日本国  

  • TEOS プラズマ CVD による SiO2製膜と膜質の温度勾配依存性

    吉田知晃, 阿部滉平, 佐々木勇輔, 山下大輔, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第23回支部大会  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:B-ConPlaza, 別府   国名:日本国  

  • Measurements of Electrostatic Potential in RF Ar Plasma Using an Optically Trapped Fine Particle 国際会議

    M. Shiratani, R. Iwamoto, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga

    20th Workshop on Fine Particle Plasmas  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of high nitriding and low hydrogen content SiN films at 100°C by controlling cluster formation in plasma 国際会議

    2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:National Institute of Fusion Science, Gifu   国名:日本国  

  • Tuning Parameters of Indium Tin Oxide Sputter Processing via Bayesian Optimization 国際会議

    R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    20th Workshop on Fine Particle Plasmas  2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Pulse Bias Voltage on Characteristics of a-C:H Film Deposited by High Pressure Ar+CH4 Plasma CVD Process 国際会議

    S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Investigation of Impact of Plasma Fluctuation Driven by Amplitude Modulated VHF Discharge on Growth of Nanoparticles in Reactive Plasma (Invited) 招待 国際会議

    K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Developing Prediction of Amorphization Condition Models from Sputter Deposition Experimental Results by Machine Learning Method 国際会議

    K. Kamataki, R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of higher-order silane molecules on Si-H2 bond and Si-H bond densities in a-Si:H films 国際会議

    H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2019年12月 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマ照射した種籾の圃場栽培試験

    古閑一憲, 佐藤僚哉, 吉田知晃, 有田涼, 田中颯, 廣松真弥, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第36回プラズマ・核融合学会年会  2019年12月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • 反応性プラズマにおけるナノ粒子生成制御(招待講演) 招待

    鎌滝晋礼, 永石翔大, 佐々木勇輔, 原尚志, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019年11月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • 不純物添加アモルファス化法による低抵抗アモルファスITO膜の作製: 基板温度の影響

    村岡宗一郎, 山下大輔, 鎌滝普礼, 古閑一憲, 白谷正治, 板垣奈穂

    第36回プラズマ・核融合学会年会  2019年11月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • Sputter deposition of wide-gap amorphous ZnAlON films with tunable bandgaps from 3.4 to 4.2 eV

    S. Urakawa, K. Kaneshima, D. Yamashita, K. Kamataki, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki

    2019年11月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 気相中のクラスター生成制御による低温低水素SiN膜の作製

    永石翔大, 佐々木勇輔, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019年11月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • スパッタエピタキシーによるサファイア基板上への単結晶ZnO膜の成長

    中村優太, 村岡宗一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第36回プラズマ・核融合学会年会  2019年11月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • (ZnO)x(InN)1-x膜のスパッタエピタキシーにおける基板温度の影響

    金島健太郎, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第36回プラズマ・核融合学会年会  2019年11月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • マルチホロー放電プラズマCVD法を用いて高ガス流速で製膜することによるa-Si:H膜の面内に局所的に存在する高密度Si-H2結合の抑制

    原尚志, HAO Yuan, 阿部滉平, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019年11月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • ベイズ的最適化による高移動度アモルファスITO/In2O3成膜条件の探索

    岩本亮介, 鎌滝晋礼, 村岡宗一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019年12月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • 低温低圧プラズマと触媒を用いた二酸化炭素のメタン化率とCO発光強度の相関

    山本瑛久, 出口雅史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第36回プラズマ・核融合学会年会  2019年12月 

     詳細を見る

    開催年月日: 2019年11月 - 2019年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  • Fabrication of dye sensitized solar cells with up and down conversion nano-particles 国際会議

    F. L. Chawarambwa, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    29th Annual Meeting of MRS-J  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of Plasma Irradiation to Seeds of Lactuca Sativa on Histogram of Fresh Weight of Their Seedling 国際会議

    R. Sato, M. Hiromatsu, K. Matsuo, T. Yoshida, R. Arita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    29th Annual Meeting of MRS-J  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Substrate position dependence of characteristics of a-C:H films fabricated by Ar+CH4 plasma 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 4th Asian Applied Physics Conference  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter deposition of amorphous ZnAlON films with tunable bandgap 国際会議

    S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Surface morphology of single-crystal ZnO films on sapphire substrates fabricated by sputter epitaxy *Yuta Nakamura, Soichiro Muraoka, Kunihiro K 国際会議

    Y. Nakamura, S. Muraoka, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Substrate temperature on Crystal Quality of (ZnO)x(InN)1-x Films Fabricated by Sputter Epitaxy 国際会議

    K. Kaneshima, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter Epitaxy of (ZnO)x(InN)1-x films for Excitonic Transistors 国際会議

    R. Narishige, N. Miyahara, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 4th Asian Applied Physics Conference  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    41st International Symposium on Dry Process (DPS2019)  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Low Temperature Fabrication of High Nitriding Degree of SiN films by Multi-Hollow Discharge SiH4+N2 Plasma CVD 国際会議

    K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    41st International Symposium on Dry Process (DPS2019)  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature 国際会議

    S. Muraoka, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    41st International Symposium on Dry Process (DPS2019)  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fluctuation of Position and Energy of a Fine Particle in Plasma Nanofabrication

    M. Shiratani, M. Soejima, H. Seo, N. Itagaki, K. Koga

    2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Precision control of nanoparticle property in reactive plasma ~ Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD ~

    K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Pressure on Characteristics of a-Si:H Films Desosited using Multi-Hollow Discharge Plasma CVD 国際会議

    Y. Hao, H. Hara, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019)  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Development of a Sensitive Electric Field Probe in Ar plasmas using Optically Trapped Fine Particles 国際会議

    K. Tomita, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    72nd Gaseous Electronics Conference  2019年10月 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Spatial inhomogeneous distribution of high Si-H2 bond density in a-Si:H films deposited by MHPCVD 国際会議

    H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    72nd Gaseous Electronics Conference  2019年10月 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of Discharge Power on Deposition Cutoff of Carbon Nanoparticles Synthesized by High Pressure Ar+CH4 Multi-hollow Discharge Plasma CVD 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    72nd Gaseous Electronics Conference  2019年10月 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Rate Limiting Factors of Low Pressure Plasma-catalytic CO2 Methanation Process 国際会議

    K. Koga, A. Yamamoto, K. Kamataki, N. Itagaki, M. Shiratani

    AVS 66th International Symposium & Exhibition  2019年10月 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Ar+CH4プラズマCVDを用いて堆積した水素化アモルファスカーボン薄膜の堆積特性に対する電極基板間距離依存性

    古閑一憲, Sung Hwa Hwang, 鎌滝晋礼, 板垣奈穂, 白谷正治

    2019年度(第72回)電気・情報関係学会九州支部連合大会  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州工業大学   国名:日本国  

  • 吸水したカイワレダイコン種子内ラジカル計測

    吉田 知晃, 佐藤 僚哉, 山下 大輔, 鎌瀧 晋礼, 板垣 奈穂, 古閑 一憲, 白谷 正治

    2019年第80回応用物理学会秋季学術講演会  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  • AM変調反応性プラズマにおけるナノ粒子成長揺動に関する時空間情報解析

    鎌滝 晋礼, 田中 颯, 山下 大輔, 板垣 奈穂, 古閑 一憲, 白谷 正治

    2019年第80回応用物理学会秋季学術講演会  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  • Developing Prediction of Key Plasma Processing Parameter from Small Data of Experimental Results by Machine Learning Method 国際会議

    K. Kamataki, R. Iwamoto, S. Okunaga, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effects of cluster incorporation in SiN films 国際会議

    S. Nagaishi, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • High Rate Deposition of Carbon Films Using High Pressure CH4 Plasma CVD 国際会議

    S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Investigation of Spatiotemporal Structure of Fluctuation Related with Nanoparticle Growth in Amplitude-Modulated VHF Discharge Reactive Plasma 国際会議

    K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Ultra-sensitive Plasma Potential Measurements by using an Optically Trapped Fine Particle 国際会議

    M. Shiratani, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  2019年9月 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Synthesis and deposition of a-C:H nanoparticles using reactive plasmas with a fast gas flow 国際会議

    K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, M. Shiratani

    The Korea-Japan Workshop on Dust Particles in Plasmas  2019年8月 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Low Temperature Fabrication of Low Hydrogen Content SiN films by Multi Hollow Discharge SiH4+N2 Plasma CVD 国際会議

    Y. Sasaki, S. Nagaishi, H. Hara, S. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The Korea-Japan Workshop on Dust Particles in Plasmas  2019年8月 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Advanced Methods of Thin Film Fabrication using Plasmas (Invited) 招待 国際会議

    M. Shiratani, K. Kamataki, K. Koga, N. Itagaki

    28th International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS28)  2019年8月 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Influence of Seed Coat Color on Reactive Species in Plasma Irradiated Seeds of Radish Sprouts 国際会議

    K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Growth Mechanism of Carbon Nanoparticles In Multi-Hollow Discharge Plasma Chemical Vapor Deposition (Invited) 招待 国際会議

    K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study on Relationship between Growth of Nanoparticles and Plasma Fluctuation due to Amplitude Modulated Discharge Voltage in Capacitively Coupled Reactive Plasma 国際会議

    K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Position fluctuation of a fine particle trapped optically in Ar plasma 国際会議

    M. Shiratani, K. Tomita, H. Ohtomo, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, T. Nakatani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • SiH4 gas velocity dependence of deposition rate and Si-H2 bond density of a-Si:H films deposited by multi-hollow discharge plasma CVD method 国際会議

    H. Hara, S. Nagaishi, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD 国際会議

    K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter Epitaxy of (ZnO)x(InN)1-x Films on Sapphire Substrates 国際会議

    N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Prediction of Sputtering Conditions for Amorphous and Low Resistive ITO/In2O3 Films via Machine Learning 国際会議

    R. Iwamoto, K. Kamataki, S. Muraoka, N. Miyahara, D. Yamashita, D. Ikeda, K. Koga, N. Itagaki, M. Shiratani

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter deposition of wide bandgap (ZnO)x(AlN)1-x alloys: a new material system with tunable bandgap 国際会議

    S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10)  2019年7月 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • マルチホロー放電プラズマCVD法による高品質SiN膜の低温(100度)形成

    永石翔大, 佐々木勇輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019)  2019年6月 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • RFマグネトロンスパッタリングによる可変バンドギャップ半導体(ZnO)x(AlN)1-xの創成

    浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    令和元年度日本表面真空学会 九州支部学術講演会(九州表面・真空研究会2019)  2019年6月 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • Deposition of Carbon Nanoparticles Using Multi-Hollow Discharge Plasma CVD for Synthesis of Carbon Nanoparticle Composite Films 国際会議

    K. Koga, S. H. Hwang, T. Nakatani, J. S. Oh, K. Kamataki, N. Itagaki, M.Shiratani

    46th International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2019)  2019年5月 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • プラズマ中の光捕捉微粒子に働く力の揺らぎ検出

    白谷正治, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲

    日本物理学会第74回年次大会(2019年)  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 微粒子プラズマにおける2体衝突運動の顕微高速観察

    古閑一憲, 大友洋, 真銅雅子, 鎌滝晋礼, 板垣奈穂, 白谷正治

    日本物理学会第74回年次大会(2019年)  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 反応性プラズマにおけるナノ粒子成長における変調レベル依存性

    鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会第74回年次大会(2019年)  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • スパッタエピタキシーによるサファイア基板上(ZnO)x(InN)1-x薄膜の2段階成長 招待

    宮原奈乃華, 浦川聖市, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第66回応用物理学会春季学術講演会  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • 高ガス流速下におけるシランプラズマ中で発生した粒子の堆積とその膜質への影響

    田中和真, 石榴, 原尚志, 永石翔大, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • プラズマに関する学生実験が受講者の動機付けに与える影響

    鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • Arプラズマ中の光捕捉微粒子を用いたシース近傍電界計測法の開発

    富田健太郎, 大友洋, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • 反応性プラズマにおける相互作用揺らぎの時空間構造の周波数依存性

    鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第66回応用物理学会春季学術講演会  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • ダウン/アップコンバージョンナノ粒子を用いた色素増感太陽電池の特性改善

    Chawarambwa Fadzai, 張博辰, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 徐鉉雄

    第66回応用物理学会春季学術講演会  2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2019年3月 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method

  • Cluster Incorporation Amount and Film Composition of SiN Films Fabricated by Multi-Hollow Discharge Plasma CVD Method 国際会議

    S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019年2月 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • High transparency polymer counter electrode for bifacial dye sensitized solar cells 国際会議

    B. Zhang, F. L. chawarambwa, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019年2月 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of film deposition precursor on FWHM into a-Si:H thin films deposited by plasma CVD 国際会議

    K. Tanaka, H. Hara, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019年2月 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of clusters and higher-order silanes (HOSs) on surface roughness and Si-H2 bond density in a-Si:H films deposited by SiH4 plasma CVD 国際会議

    H. Hara, K. Tanaka, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019  2019年2月 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Ambipolar charging due to plasma irradiation: from nanoparticles to proteins (Invited) 招待 国際会議

    M. Shiratani, K. Kamataki, N. Itagaki, K. Koga

    19th Workshop on Fine Particle Plasmas  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Growth of Nano-Particles in Reactive Plasma using Amplitude Modulated rf Discharge Voltage 国際会議

    K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    19th Workshop on Fine Particle Plasmas  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study on Spatial Distribution of Plasma Fluctuation Using Optically Trapped Fine Particle in Ar Plasma 国際会議

    H. Ohtomo, T. Chimura, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    19th Workshop on Fine Particle Plasmas  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition Rate and Cluster Incorporation Amount of SiN Films Fabricated by a Multi-Hollow Discharge Plasma CVD Method 国際会議

    S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of N2, O2 gas composition ratio and humidity in plasma on plasma induced plant growth enhancement 国際会議

    Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of film deposition precursors on Raman intensity ITA/ITO of a-Si:H thin films fabricated by plasma CVD method 国際会議

    K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of cluster-eliminating filter and gas velocity on SiH2 bond formation in a-Si:H films deposited by MHDPCVD method 国際会議

    H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Size and Structure Control of Carbon Nano-particles Synthesized by Multi-hollow Discharge Plasma CVD Method 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization: effects of target-substrate distance 国際会議

    K. Imoto, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki

    28th Annual Meeting of MRS-Japan 2018  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • SiH4+N2 マルチホロー放電プラズマ CVD を用い製膜した SiNx 薄膜のクラスター混入と膜質 の関係

    佐々木勇輔, 永石翔大, 田中和真, 原尚志, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマ中光捕捉微粒子の位置揺らぎに関する研究

    千村智, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Si 薄膜プラズマ CVD における成膜条件と膜質の相関の機械学習解析

    岩本亮介, 鎌滝晋礼, 田中和真, 原尚志, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 容量結合放電プラズマを用いた炭酸ガスのメタンへの改質

    山本瑛久, 谷田知史, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マグネトロンスパッタによる擬2元系混晶(ZnO)x(InN,AlN)1-xのヘテロエピタキシー

    浦川聖市, 宮原奈乃華, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第22回支部大会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Effects of DC Bias Voltage on Deposition of Carbon Nano Particles Synthesized by Ar + CH4 Multi-Hollow Discharge Plasma 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Applying Multivariate Analysis to a-Si:H Deposition Plasma Process Data 国際会議

    R. Iwamoto, K. Kamataki, K. Tanaka, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of Plasma Fluctuation Frequency on Growth of Nanopariticles in Reactive Plasmas 国際会議

    K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatial Profile of RONS Dose Supplied by a Scalable DBD Device 国際会議

    K. Koga, Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, T. Kawasaki

    The 3rd Asian Applied Physics Conference  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time evolution of amount of cluster incorporation into SiNx films and electron temperature of CVD Plasma 国際会議

    S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M, Shiratani

    The 3rd Asian Applied Physics Conference  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Gas velocity dependence of Si-H2 bond density and surface roughness of a-Si:H films deposited by multi-hollow plasma CVD 国際会議

    H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Asian Applied Physics Conference  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 反応性プラズマにおけるプラズマ揺らぎとラジカルとナノ粒子成長の関係

    鎌滝晋礼, 周靭, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • 顕微FTIRを用いたプラズマCVD Si薄膜の結合状態の二次元分布評価

    石榴, 田中和真, 原尚志, 鎌滝晋礼, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • フラックス制御スパッタによるIn-rich (ZnO)x(InN)1-x膜のヘテロエピタキシー

    宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • プラズマCVD法で作製したa-Si:H薄膜のSiネットワーク秩序性評価

    田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • プラズマCVDによるSiN製膜時のクラスターの混入が膜物性へ与える影響

    永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • 窒素添加結晶化法による格子不整合基板上へZnOエピタキシャル成長—窒素酸素共添加多段バッファー層の効果—

    呂佳豪, 山下大輔, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • RFマグネトロンスパッタ法を用いたSi(111)基板上でのZnO結晶成長における窒素不純物の効果

    村岡宗一郎, 呂佳豪, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • Ar/N2スパッタリングによる低抵抗アモルファスITO膜の作製:ターゲット-基板間距離の影響

    井本幸希, 山下大輔, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • 反応性プラズマ中のナノ粒子量揺らぎの構造解析

    周靭, 鎌滝晋礼, 大友洋, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • 高速ガス流マルチホロー放電プラズマの発光分光計測

    原尚志, 田中和真, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • Growth Kinetics of Carbon Nano Particles Generated in an Ar/CH4 Multi-Hollow Discharge Plasmas

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Arプラズマ中微粒子の相互作用の評価

    大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • 大気圧プラズマ照射したイネの成長に対する浸水処理日数依存性

    佐藤僚哉, 和田陽介, 嶋田凌太郎, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • カイワレ大根種皮の色素に対するプラズマ照射の効果

    古閑一憲, 嶋田凌太郎, 和田陽介, 佐藤僚哉, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治, Vida Mildaziene

    第35回プラズマ・核融合学会年会  2018年12月 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • Catalyst-free growth of c-axis aligned (ZnO)0.79(InN)0.21 nanorods by RF magnetron sputtering 国際会議

    N. Miyahara, D. Yamashita, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki

    2018 MRS Fall Meeting & Exhibit  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Evaluation of Amount of RONS Transport and Absorption of Seeds 国際会議

    K. Koga, Y. Wada, R. Sato, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani

    2018 MRS Fall Meeting & Exhibit  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of nitrogen impurity on sputtering growth of ZnO films on Si(111) substrates 国際会議

    S. Muraoka, J. Lyu, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2018 MRS Fall Meeting & Exhibit  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • 反応性プラズマにおけるプラズマ揺らぎの時空間構造

    鎌滝晋礼, 周靭, 大友洋, 岩本亮介, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第34回九州・山口プラズマ研究会  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:シーサイドホテル屋久島, 鹿児島   国名:日本国  

  • Motion analysis of inter-particle interactions of three fine particles in Ar plasma 国際会議

    H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    40th International Symposium on Dry Process (DPS2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance 国際会議

    K. Imoto, N. Miyahara, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki

    40th International Symposium on Dry Process (DPS2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Gas Pressure on Size of Carbon Nanoparticles Prepared by Methane Plasma Process 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Impact of Amplitude Modulation of RF Discharge Voltage on the Spatial Profile of Nanoparticle Characteristics in Reactive Plasma (Invited) 招待 国際会議

    K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma 国際会議

    R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatial distribution of SiH2/SiH bond density ratio in a-Si:H solar cells fabricated by plasma CVD 国際会議

    L. Shi, K. Tanaka, H. Hara, S. Nakano, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Suppression of HOS molecules incorporation in a-Si:H films fabricated by plasma CVD 国際会議

    K. Tanaka, H. Hara, L. Shi, S. Nagaishi, S. Nakano, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter epitaxy of single crystalline ZnO on 18%-lattice-mismatched sapphire using multi buffer layers fabricated via nitrogen mediated crystallization 国際会議

    J. Lyu, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma 国際会議

    R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018)  2018年11月 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • SiH4+N2マルチホロー放電プラズマCVDによる SiN製膜時の膜へのクラスターの取り込み

    永石翔大, 佐々木勇輔, 田中和真, 原尚志, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    放電/プラズマ・パルスパワー合同研究会  2018年10月 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学   国名:日本国  

  • Synthesis of Hydrogenated Amorphous Carbon Nanoparticles using High-Pressure CH4+Ar Plasmas and Their Deposition 国際会議

    K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, T. Nakatani, M. Shiratani

    AVS 65th International Symposium & Exhibition  2018年10月 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • スパッタエピタキシーによるサファイア基板直上へのIn-rich (ZnO)x(InN)1-x 膜の作製

    宮原奈乃華, 山下大輔, 鎌滝晋礼, 中村大輔, 古閑一憲, 白谷正治, 板垣奈穂

    2018年第79回応用物理学会秋季学術講演会  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  • CH4+Arマルチホロー放電プラズマで生成したカーボンナノ粒子の基板堆積に対するガス流量の影響

    2018年第79回応用物理学会秋季学術講演会  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  • 大気圧誘電体バリア放電プラズマ照射に対する種皮の機能大気圧誘電体バリア放電プラズマ照射に対する種皮の機能

    鎌滝晋礼, 和田陽介, 嶋田凌太郎, 佐藤僚哉, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, Vida Mildaziene

    2018年第79回応用物理学会秋季学術講演会  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  • Siネットワーク秩序性に対する製膜前駆体の効果

    古閑一憲, 田中和真, 原尚志, 石榴, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治

    2018年第79回応用物理学会秋季学術講演会  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  • プラズマ中二体微粒子の衝突解析による相互作用揺らぎの研究

    古閑一憲, 大友洋, 周靭, 山下大輔, 鎌滝晋礼, 板垣奈穂, 白谷正治

    2018年第79回応用物理学会秋季学術講演会  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  • Effects of cluster deposition on spatial profile of Si-Hx bond density in a-Si:H films 国際会議

    K. Koga, K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani

    2018 International Conference on Solid State Devices and Materials (SSDM2018)  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of CH4 Concentration on Size of Carbon Nano-Particles Formed in Multi-hollow Discharge Plasma 国際会議

    S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th UK-Japan Engineering Education League Workshop 2018  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Photoluminescence of (ZnO)0.92(InN)0.08 films -Fabrication templature dependence- 国際会議

    N. Miyahara, M. Shiratani, N. Itagaki

    6th UK-Japan Engineering Education League Workshop 2018  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Improvement of Si network order of a-Si:H thin films by suppressing incorporation of HOS molecules 国際会議

    S. Nakano, K. Tanaka, H. Hara, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th UK-Japan Engineering Education League Workshop 2018  2018年9月 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Analysis of Inter-particle Interactions of Two Fine Particles in Ar Plasma 国際会議

    H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    JP-KO dust workshop 2018  2018年7月 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Density modulation of nanoparticles in amplitude modulated discharge plasmas 国際会議

    M. Shiratani, R. Zhou, H. Ohtomo, N. Itagaki, K. Koga

    24th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG 2018)  2018年7月 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  • Room Temperature Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated by RF magnetron sputtering 国際会議

    N. Miyahara, L. Shi, K. Iwasaki, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018)  2018年7月 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Effects of gas velocity dependence of Si-H2 bond density at P/I interface of a-Si:H layered films deposited by multi-hollow discharge plasma CVD. 国際会議

    H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    Workshop "Plasma surface interaction for technological applications"  2018年6月 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD 国際会議

    H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    28th Symposium on Plasma Physics and Technology (SPPT)  2018年6月 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  • High energy leverage method on growth enhancement of bio-mass plants using plasma seed treatment 国際会議

    K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    7th International Conference on Plasma Medicine (ICPM-7)  2018年6月 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  • a‐Si:H 薄膜への高次シラン取り込みとSiネットワーク秩序性の関係

    田中和真, 原尚志, 石榴, 永石翔大, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会)  2018年6月 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州工業大学   国名:日本国  

  • プラズマCVD法で作製したa-Si:H 膜のSiH2/SiH 結合密度比の2次元分布

    石榴, 田中和真, 原尚志, 中野慎也, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成30年度九州表面・真空研究会2018(兼第23回九州薄膜表面研究会)  2018年6月 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州工業大学   国名:日本国  

  • A deep insight of plasma-nanoparticle interaction 招待 国際会議

    K. Koga, K. Kamataki, N. Itagaki, M. Shiratani

    19th International Congress on Plasma Physics  2018年6月 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  • スパッタエピタキシーにより作製した(ZnO)0.73(InN)0.27のフォトルミネッセンス

    宮原奈乃華, 岩崎和也, 石榴, 山下大輔, 中村大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第65回応用物理学会春季学術講演会  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • 大気圧空気誘電体バリア放電プラズマを照射したカイワレ種子の電子スピン共鳴分光

    古閑一憲, 和田陽介, 佐藤僚哉, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    第65回応用物理学会春季学術講演会  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • 水素原子源付プラズマCVD法に任意電圧波形を併用したa-C:H薄膜の堆積

    古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    第65回応用物理学会春季学術講演会  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • カーボン薄膜の選択プラズマCVD

    白谷正治, 方トウジュン, 山木健司, 徐鉉雄, 板垣奈穂, 古閑一憲

    平成30年電気学会全国大会  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 任意電圧波形を用いたC7H8+Ar+H2プラズマ生成

    古閑一憲, 山木健司, 方トウジュン, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    平成30年電気学会全国大会  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Effects of Number Density of Seeds on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation 国際会議

    Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    2nd International Workshop On Plasma Agriculture (IWOPA2)  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of RONS Dose on Plasma Induced Growth Enhancement of Radish Sprout 国際会議

    K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    2nd International Workshop On Plasma Agriculture (IWOPA2)  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • A tailored voltage waveform plasma CVD method for carbon film deposition 国際会議

    K. Yamaki, T. Fang, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018)  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • IoT-oriented solar cells fabricated using plasma-based nanotechnology (Invited) 招待 国際会議

    M. Shiratani, H. Seo, N. Itagaki, K. Koga

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018)  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Analysis of Coupling of Interaction Fluctuation between Reactive Plasmas and Nanoparticles 国際会議

    R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018)  2018年3月 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Thin film deposition using low temperature plasmas: past, present, and future (Plenary) 招待 国際会議

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    4th International Workshop on Advanced Plasma Technology and Applications (The 4th IWAPTA workshop)  2018年2月 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:インドネシア共和国  

  • Plasma CVD of a-C:H films as protective layers for solar cells (Invited) 招待 国際会議

    M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, K. Takenaka, Y. Setsuhara

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018年2月 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD 国際会議

    K. Tanaka, H. Hara, S. Toko, T. Kojima, S. Nagaishi, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018年2月 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Enhancement on photovoltaic performance of dye-sensitized solar cells by applying up-conversion Y2O3:Er3 + nanoparticles 国際会議

    D. Sakamoto, B. Zhang, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018年2月 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effect of additive on catalytic enhancement of polymer counter electrode in dye-sensitized solar cells 国際会議

    B. Zhang, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018年2月 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Strategy for the commercialization of dye-sensitized solar cells 招待 国際会議

    H. Seo, D. Sakamoto, B. Zhang, N. Itagaki, K. Koga, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018年2月 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Plasma Enhanced Carbon Recycling for Large-Scale Introduction of Solar Cells to Energy Supply Chain 招待 国際会議

    K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application  2018年2月 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • A new insight into nanoparticle-plasma interactions (Invited) 招待 国際会議

    K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani

    JP-KO dust workshop  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Ar プラズマ中で光捕捉した微粒子を用いたプラズマ揺動の評価

    大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • プラズマ CVD で作製した a-Si:H 積層膜の P/I 界面 SiH2結合量の基板温度依存性

    原尚志, 田中和真, 小島尚, 永石翔大, 都甲将, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • スパッタエピタキシーによる高品質(ZnO)0.8(InN)0.2薄膜の作製:スパッタリングガス圧力の影響

    竹内一登, 宮原奈乃華, 石榴, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • SiH4+N2マルチホロー放電プラズマ CVD による SiN 系膜の作製

    永石翔大, 小島尚, 田中和真, 原尚志, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • Ar/N2 スパッタリングによる高品質 ZnO 膜の作製:基板温度の影響

    村岡宗一郎, 岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • プラズマ CVD で作製した a-Si:H 薄膜の Si ネットワーク秩序性向上

    田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第21回支部大会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • Analysis of Inter-particle Fluctuation in Capacitively Coupled Low Pressure Ar Plasma Using Optical Tweezers 国際会議

    H. Ohtomo, K. Mori, R. Zhou, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fine Particle Plasma and Plasma Process (Invited) 招待 国際会議

    M. Shiratani, K. Koga

    18th Workshop on Fine Particle Plasmas  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Evaluation of coupling among interaction fluctuations in nanoparticle growth in reactive plasmas 国際会議

    K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Cross-spectrum Analysis of Interaction Fluctuation between Plasma and Nanoparticles in Capacitively-Coupled RF Discharge Reactive Plasmas 国際会議

    K. Mori, R. Zhou, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Cloud of a-Si:H Clusters as Selective Filter in SiH4 Multi-Hollow Discharge Plasma 国際会議

    T. Kojima, K. Tanaka, S. Nagaishi, H. Hara, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    18th Workshop on Fine Particle Plasmas  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Advanced plasma process for next-generation photovoltaics 招待 国際会議

    H. Seo, N. Itagaki, K. Koga, M. Shiratani

    27th annual meeting of MRS-J  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fluctuation Analysis of An Optical Trapped Fine Particle in Ar Plasma 国際会議

    H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    27th annual meeting of MRS-J  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Number Density of Seeds on Plasma Induced Plant Growth Enhancement 国際会議

    K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    27th annual meeting of MRS-J  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of High Quality Silicon Thin Films Utilizing Nanoparticles Trapped in Plasmas 国際会議

    K. Koga, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    27th annual meeting of MRS-J  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Y2O3:Er のアップコンバージョン特性と太陽電池への応用

    坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 中村大輔

    2017年度応用物理学会九州支部学術講演会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:宮崎観光ホテル   国名:日本国  

  • 低圧プラズマを用いた CO2 のメタン化反応の活性化エネルギー

    山本瑛久, 都甲将, 谷田知史, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年度応用物理学会九州支部学術講演会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:宮崎観光ホテル   国名:日本国  

  • 種子へのプラズマ照射のカイワレ大根の長さ分布に対する効果

    佐藤僚哉, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年度応用物理学会九州支部学術講演会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:宮崎観光ホテル   国名:日本国  

  • Growth Enhancement of Sorghum by Plasma Irradiation to The Seeds 国際会議

    M. Shiratani, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Gap between Electrodes and Seeds on Plasma Induced Plant Growth Enhancement 国際会議

    Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated on sapphire substrates 国際会議

    N. Miyahara, K. Iwasaki, L. Shi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Gas Flow Velocity on Plant Growth of Radish Sprout 国際会議

    K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Correlation analysis between high energy electrons and nanoparticles in AM CCP 国際会議

    R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering Growth of SiC Films on Si(111) Substrates by using Impurity Mediated Crystallization Method 国際会議

    K. Imoto, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Gas Pressure on Crystal Quality of ZION Films Fabricated by RF Magnetron Sputtering 国際会議

    L. Shi, N. Miyahara, D. Yamashita, H. Seo, J. Lyu, K. Koga, M. Shiratani, N. Itagaki

    The 2nd Asian Applied Physics Conference (Asian-APC)  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 色素増感太陽電池のポリマー対向電極における触媒反応の活性化(招待講演) 招待

    徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    電子情報通信学会有機エレクトロニクス研究会  2017年12月 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:サンメッセ鳥栖   国名:日本国  

  • マグネトロンスパッタ法によるSi(111)基板上への高品質ZnO膜の作製:窒素添加バッファー層の効果

    岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:姫路商工会議所   国名:日本国  

  • プラズマプロセスにおけるtailored voltage waveformsの使用に向けての設計及びマッチング

    山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:姫路商工会議所   国名:日本国  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎのクロスコリレーション解析区間の比較

    周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:姫路商工会議所   国名:日本国  

  • 光ピンセットを用いたArプラズマと単一微粒子との相互作用解析

    大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:姫路商工会議所   国名:日本国  

  • 基板温度制御によるa-Si:H薄膜のSiネットワーク秩序性の向上

    田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:姫路商工会議所   国名:日本国  

  • 植物種子の吸水に与える大気圧プラズマ照射の効果

    和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:姫路商工会議所   国名:日本国  

  • Development of a fine particle transport analyzer for processing plasmas 国際会議

    K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 39th International Symposium on Dry Process (DPS 2017)  2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • An Analysis on a Kinetic Motion of Optical Trapped Single Fine Particle in Ar Plasma 国際会議

    H. Ohtomo, K. Mori, Z. Ren, D. Yamashita H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017)  2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Nanoparticle Composite Films: Fabrication and Functions (Invited) 国際会議

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017)  2017年11月 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effects of gas flow rate ratio on structure of a-C:H films deposited using Ar + H2+ C7H8 plasma CVD 国際会議

    T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    Taiwan Association for Coating and Thin Film Technology (TACT) 2017  2017年10月 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • Photoluminescence from epitaxial (ZnO)x(InN)1-x films on sapphire substrates fabricated by RF magnetron sputtering 国際会議

    N. Miyahara, K. Iwasaki, L. Shi, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    Taiwan Association for Coating and Thin Film Technology (TACT) 2017  2017年10月 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • Novel Fabrication Methods of Thin Films using Low Temperature Plasmas (Invited) 招待 国際会議

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    Taiwan Association for Coating and Thin Film Technology (TACT) 2017  2017年10月 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • スケーラブルDBDプラズマのRONS照射量に対する空気流れの効果

    古閑一憲, 和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    平成29年度(第70回)電気・情報関係学会九州支部連合大会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:琉球大学   国名:日本国  

  • Local Fluctuations of Plasma Detected with an Optically Trapped Fine Particle 国際会議

    M. Shiratani, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga

    1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017)  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Effect of Gas flow rate ratio on the structure and properties of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD 国際会議

    T. Fang, K. Yamaki, K .Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017)  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells fabricated by plasma CVD (Invited) 国際会議

    K. Tanaka, T. Kojima, S. Toko, D. Yamashita, Hy. Seo, N. Itagaki, K.Koga, M. Shiratani

    11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017)  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Spatial Structure of Interactions between Nanoparticles and Low Pressure Plasmas (Invited) 招待 国際会議

    M. Shiratani, H. Seo, N. Itagaki, K. Koga

    11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017)  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Catalytic enhancement on polymer counter electrodes of dye-sensitized solar cells by isooctylphenoxy-polyethoxyethanol addition 国際会議

    B. Zhang, D. Sakamoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017)  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Arプラズマ中で光捕捉された単一微粒子の運動解析

    大友洋, 森研人, 周靭, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 色素増感太陽電池のポリマー対向電極の触媒能のTriton X-100添加による向上

    張博辰, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 色素増感太陽電池におけるポリマー対向電極へのシリコンナノ粒子添加効果

    坂本大輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • ポリマーナノコンポジットを用いた量子ドット増感太陽電池の対向電極

    徐鉉雄, 坂本大輔, 張博辰, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 反応性プラズマ中ナノ粒子とプラズマの相互作用ゆらぎの起因解明

    森研人, 周靭, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎの相関関係解析

    周靭, 森研人, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 窒素添加結晶化法による格子不整合基板上へのZnOエピタキシャル成長―窒素酸素共添加バッファー層の効果―

    呂佳豪, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 窒素添加スパッタリング法を用いたSi(111)基板上への高品質ZnO薄膜の作製

    岩崎和也, 呂佳豪, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 高圧マルチホロー放電プラズマCVD下流におけるラジカル成膜速度の時間変化

    小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • プラズマ照射した種籾への催芽処理の効果

    古閑一憲, 和田陽介, 徐鉉雄, 板垣奈穂, 白谷正治, 橋本昌隆, 小島昌治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • スペクトル解析によるプラズマ中クラスター挙動の分類

    白谷正治, 小島尚, 都甲将, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • ジャガイモの生育に対するプラズマ照射時間の影響

    和田陽介, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • 不純物添加法を用いたSi(111)基板上へのSiC薄膜成長

    井本幸希, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • スパッタエピタキシーによるサファイア基板上へのIn-N rich (ZnO)x(InN)1-x膜の作製

    宮原奈乃華, 岩崎和也, 石榴, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • RFマグネトロンスパッタリング法で作製したZION薄膜の結晶性に及ぼすガス圧力の影響

    石榴, 宮原奈乃華, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • P/I界面のSi-H2結合形成に対する基板温度の効果

    田中和真, 原尚志, 小島尚, 永石翔大, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第78回応用物理学会秋季学術講演会  2017年9月 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場, 福岡国際センター   国名:日本国  

  • A Theoretical Model for Time Evolution of Radical Deposition Rate in Silane Plasma CVD 国際会議

    T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Catalytic Enhancement of Polymer Counter Electrode of Photochemical Photovoltaics based on Nano-particle Application 国際会議

    H. Seo, D. Sakamoto, H. Chou, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Film thickness dependence of structure of a-Si:H thin films measured by Raman spectroscopy 国際会議

    K. Tanaka, S. Toko, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Two-dimensional profile of RONS dose irradiated with a scalable DBD device 国際会議

    Y. Oide, T. Sarinont, R. Katayama, Y. Wada, T. Kawasaki, D. Yamashita, H. Seo, N. Itagaki, P. Attri, E. H. Choi, A. Tanaka, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatiotemporal structure of fluctuation of interactions between plasmas and nanoparticles in capacitively-coupled rf discharge reactive plasmas 国際会議

    K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Optical Trapping Process of Single Fine Particle in Ar Plasma 国際会議

    H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Next Generation Photovoltaics Based on Si Nano-particles Fabricated by Advanced Plasma Process 国際会議

    H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of surface flat (ZnO)x(InN)1-x films on 3%-lattice-mismatched a-sapphire substrates 国際会議

    N. Miyahara, K. Matsushima, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of bias voltage on the surface morphology of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD 国際会議

    M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki

    International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Morphology Evolution of ZnO Thin Films Deposited by Nitorogen Mediated Cristallization Method 国際会議

    I. Suhariadi, N. Itagaki, M. Shiratani

    The 2nd International Joint Conference on Advanced Engineering and Technology (IJCAET 2017)  2017年8月 

     詳細を見る

    開催年月日: 2017年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:インドネシア共和国  

  • Measurements of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films 国際会議

    M. Shiratani, T. Takasaki, H. Wang, K. Matsushima, H. Seo, K. Koga, K. Takeda, M. Hori, and N. Itagaki

    International Conference on Phenomena in Ionized Gases (ICPIG 2017)  2017年7月 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポルトガル共和国  

  • Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells deposited by multi-hollow discharge plasma CVD 国際会議

    S. Toko, K. Tanaka, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    International Conference on Phenomena in Ionized Gases (ICPIG 2017)  2017年7月 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポルトガル共和国  

  • a‐Si:H 薄膜中の Si ネットワーク秩序性のラマン分光法を⽤いた評価

    田中和真, 小島尚, 都甲将, ⼭下⼤輔, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治

    平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会)  2017年6月 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • ⾊素増感太陽電池におけるナノ粒⼦を⽤いた表⾯改質によるポリマー触媒の反応活性化

    坂本⼤輔, 張博辰, 徐鉉雄, 板垣奈穂, 古閑⼀憲, 白谷正治

    平成29年度九州表面・真空研究会2017(兼第22回九州薄膜表面研究会)  2017年6月 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • Synthesis of Nanoparticles Using Low Temperature Plasmas and Its Application to Solar Cells and Tracers in Living Body (Invited) 招待 国際会議

    K. Koga, H. Seo, A. Tanaka, N. Itagaki, M. Shiratani

    231st Meeting of Electrochemical Society (ECS)  2017年5月 

     詳細を見る

    開催年月日: 2017年5月 - 2017年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  • 低温プラズマによるナノ粒子の合成と太陽電池への応用

    古閑一憲, 徐鉉雄, 板垣奈穂, 白谷正治

    電子情報通信学会有機エレクトロニクス研究会  2017年4月 

     詳細を見る

    開催年月日: 2017年4月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:龍郷町生涯学習センター(鹿児島県奄美大島)   国名:日本国  

  • プラズマとナノ粒子の相互作用ゆらぎのモード間相関

    森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    日本物理学会第72回年次大会(2017年)  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  • a-Si:H太陽電池P/I界面におけるSi-H2結合評価

    都甲将, 田中和真, 小島尚, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第64回応用物理学会春季学術講演会  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  • マルチホロー放電プラズマCVD下流におけるラジカル製膜速度と膜中クラスター混入量の動的振る舞い

    都甲将, 小島尚, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第64回応用物理学会春季学術講演会  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  • RFマグネトロンスパッタにより格子不整合基板上に作製した表面平坦ZION薄膜

    宮原奈乃華, 松島宏一, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第64回応用物理学会春季学術講演会  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  • 大気圧DBD装置の活性種照射量の放電電力密度依存性

    白谷正治, 片山龍, 北﨑訓, T. Sarinont, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Attri Pankaj, Eun Ha Choi, 田中昭代

    第64回応用物理学会春季学術講演会  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  • 色素増感太陽電池の触媒としてのポリマーナノコンポジット

    徐鉉雄, 坂本大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第64回応用物理学会春季学術講演会  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  • スパッタ支援層交換で作製したポリイミド基板上結晶Ge薄膜の膜質

    白谷正治, 田浪荘汰, 坂本大輔, 張博辰, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲

    第64回応用物理学会春季学術講演会  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  • RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜のフォトルミネッセンス

    松島宏一, 宮原奈乃華, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第64回応用物理学会春季学術講演会  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  • Corrational study of fluctuation of coupling between plasmas and nanoparticles 国際会議

    K. Koga, K. Mori, H. Seo, N. Itagaki, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Long-term evaluation of In nanoparticle transport in living body 国際会議

    K. Koga, A. Tanaka, M. Hirata, T. Amano, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Comparision of Gamma irradation and scalable DBD on the declorization of Dyes 国際会議

    K. Koga, P. Attri, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time evolution of CH4 yield in methanation of CO2 using helicon discharge plasma 国際会議

    S. Tanida, S. Toko, R. Katayama, K. Koga, M. Shiratani

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017)  2017年3月 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of P-layer of PIN a-Si:H solar cells on Si-H2/Si-H bond ratio at P/I interface 国際会議

    K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017年1月 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Si Nanoparticles Fabricated by Multi-hollow Discharge Plasma Chemical Vapor Deposition and their Photovoltaic Application 国際会議

    D. Sakamoto, H. Chou, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017年1月 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Theoretical Consideration on Methane Production Using Plasma on Mars 国際会議

    S. Toko, S. Tanida, K. Koga, M. Shiratani

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29)  2017年1月 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time evolution of cross-correlation between two fluctuations of couplings between plasmas and nanoparticles in amplitude modulated discharges 国際会議

    K. Koga, K. Mori, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • DC bias voltage dependence of dust transport in a compact dust trajectory analyzer 国際会議

    R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara

    17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dependence of amount of plasma activated water on growth enhancement of radish sprout 国際会議

    K. Koga, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time evolution of electron temperature and amount of cluster incorporation into films in SiH4 multi-hollow plasma CVD 国際会議

    T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatial distribution of Si-H2 bond density in a-Si:H film evaluated by microscopic FTIR 国際会議

    K. Tanaka, T. Kojima, K. Keya, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Properties of Au-induced layer-exchange crystalline Ge formed by sputtering deposition 国際会議

    S. Tanami, Y. Oide, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Interaction potential fluctuation between binary collisions of fine particles suspended in low pressure Ar rf plasmas 国際会議

    M. Soejima, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • High-mobility transparent amorphous In2O3:Ge films fabricated by impurity mediated amorphization method 国際会議

    T. Takasaki, H. Wang, N. Miyahara, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Sputtering Gas Composition on Properties of ZnO Prepared by Nitrogen Mediated Crystallization Method 国際会議

    N. Miyahara, T. Ide, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of P-layer of PIN a-Si:H solar cells on Si-H2 bond formation at P/I interface 国際会議

    K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of modulation frequency on interaction fluctuation between plasma and nanoparticles 国際会議

    K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of electrostatic field direction on dust accumulation to mirror in a plasma reactor 国際会議

    R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of bias voltage on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD 国際会議

    T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dependence of internal resistance by the quantity of Si nanoparticles on polymer counter electrode in dye-sensitized solar cells 国際会議

    D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Characteristic length of position fluctuation of a single fine particle optically trapped in Ar plasma 国際会議

    H. Ohtomo, M. Soejima, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    26th annual meeting of MRS-J  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • アモルファスITO成膜用Ar/N2スパッタプラズマ中の窒素原子密度の測定

    高崎俊行, 王寒, 松島宏一, 竹田圭吾, 堀勝, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造

    森研人, 添島雅大, 大友洋, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 大気圧DBDジェット装置のRONS照射量比較実験

    片山龍, サリノント タパナット, 大井手芳徳, 和田陽介, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, Pankaj Attri, 田中昭代, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVD法で製膜されたa-Si:H薄膜のSi-H2結合密度の面内分布

    田中和真, 毛屋公孝, 都甲将, 小島尚, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVD下流領域におけるクラスター輸送量と膜中クラスター混入量の関係

    小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • ポリイミドフィルム上へのプラズマ支援Au誘起低温高速層交換Ge結晶成長

    田浪荘汰, 坂本大輔, 張博辰, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマ中微粒子の相互作用計測

    添島雅大, 大友洋, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマ中微粒子の光捕捉

    大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマプロセスによって作成されたSiナノ粒子の光電変換特性

    張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマCVDにより作製されたナノ粒子を用いることによるポリマー薄膜の性能向上

    坂本大輔, 張博辰, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • スパッタ製膜圧力が窒素添加ZnO膜の表面形状に与える影響

    岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Scalable DBDによるRONS照射量の二次元分布

    大井手芳徳, 片山龍, サリノント タパナット, 和田陽介, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Ar+H2+C7H8プラズマCVD法におけるアモルファス水素化炭素膜に対する水素流量比の影響

    方韜鈞, 山木健司, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Ar/N2/O2スパッタによるZnO薄膜の高品質エピタキシャル成長

    宮原奈乃華, 井手智章, 岩崎和也, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Ar/N2 スパッタリングプラズマにより作製したアモルファスITO膜の表面形状

    王寒, 高崎俊行, 松島宏一, 岩崎和也, 宮原奈及華, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVD法で製膜されたPIN a-Si:H太陽電池の安定性に界面が及ぼす影響

    毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第20回支部大会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Plasma anisotropic CVD of carbon films from toluene (Invited) 招待 国際会議

    M. Shiratani, X. Dong, H. Seo, N. Itagaki, K. Koga

    6th International Conference on Advanced Plasma Technologies (ICAPT-6)  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カンボジア王国  

  • Catalytic characteristics and photovoltaic application of polymer nano-composite 国際会議

    H. Seo, D. Sakamoto, N. Itagaki, K. Koga, M. Shiratani

    The 1st Asian Applied Physics Conference (Asian-APC)  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • マルチホロー放電プラズマ中のクラスターサイズ・密度の放電時間依存性

    小島尚, 都甲将, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成28年度応用物理学会九州支部学術講演会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:対馬市交流センター, 長崎   国名:日本国  

  • PEDOT:PSS 薄膜の導電性向上

    坂本大輔, 張博辰, 大井手芳徳, 田浪荘汰, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成28年度応用物理学会九州支部学術講演会  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:対馬市交流センター, 長崎   国名:日本国  

  • Enhancement of fill factor (FF) of dye-sensitized solar cell by means of counter polymer electrode containing Si nanoparticles 国際会議

    D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 1st Asian Applied Physics Conference (Asian-APC)  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of pulse discharge on cluster transport in downstream region of multi-hollow discharge CVD plasma 国際会議

    T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 1st Asian Applied Physics Conference (Asian-APC)  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Comparative study on death of cells irradiated by non-thermal plasma, X-ray, and UV 国際会議

    K. Koga, T. Amano, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, A. Tanaka, Y. Nakatsu, T. Kondo

    The 1st Asian Applied Physics Conference (Asian-APC)  2016年12月 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 小型ダスト飛跡分析装置を用いたミラー上ダスト堆積抑制の検討

    古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男

    第33回プラズマ・核融合学会年会  2016年12月 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • プラズマとナノ粒子の相互作用ゆらぎの2次元空間構造の時間発展

    古閑一憲, 森研人, 徐鉉雄, 板垣奈穂, 白谷正治

    第33回プラズマ・核融合学会年会  2016年11月 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学   国名:日本国  

  • Blue and Green Photoluminescence of (ZnO)0.92(InN)0.08 国際会議

    K. Matsushima, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2016 MRS Fall Meeting & Exhibit  2016年12月 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growth of Single Crystalline ZnO Films on Sapphire Substrates 国際会議

    K. Iwasaki, T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2016 MRS Fall Meeting & Exhibit  2016年12月 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of particle size on catalytic characteristics of polymer counter electrode containing Si nanoparticles in dye-sensitized solar cells 国際会議

    D. Sakamoto, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016年10月 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:シンガポール共和国  

  • Room temperature fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization method 国際会議

    T. Takasaki, W. Han, K. Iwasaki, K. Matsushima,D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016年10月 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:シンガポール共和国  

  • Low cost dye-sensitized solar cells based on polymer composite catalyst 国際会議

    D. Sakamoto, H. Seo, S. Tanami, Y. Oide, N. Itagaki, K. Koga, M. Shiratani

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016年10月 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:シンガポール共和国  

  • Effect of photocatalyst TiO2 on the long-term stability of dye-sensitized solar cells 国際会議

    T. Takasaki, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    26th International Photovoltaic Science and Engineering Conference (PVSEC-26)  2016年10月 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:シンガポール共和国  

  • Comparative study of non-thermal atmospheric pressure discharge plasmas for life science applications 国際会議

    K. Koga, R. Katayama, T. Sarinont, H. Seo, N. Itagaki, P. Attri, E. L. Quiros, .A. Tanaka, M. Shiratani

    69th Annual Gaseous Electronics Conference (GEC2016)  2016年10月 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Catalytic enhancement on polymer composite counter electrode for low cost quantum dot-sensitized solar cells 国際会議

    H. Seo, D. Sakamoto, C. V. V. M. Gopi, M. Kim, H. J. Kim, N. Itagaki, K. Koga, M. Shiratani

    The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016)  2016年9月 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • p層上に堆積したintrinsic a-Si:H中のSi-H2/Si-H結合比に対する界面の影響

    毛屋公孝, 田中和真, 小島尚, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第77回応用物理学会秋季学術講演会  2016年9月 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ, 新潟   国名:日本国  

  • 反応性プラズマとナノ粒子の相互作用ゆらぎの時空間構造解析

    森研人, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第77回応用物理学会秋季学術講演会  2016年9月 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ, 新潟   国名:日本国  

  • マルチホロー放電プラズマ下流における電場とクラスター輸送の関係

    小島尚, 都甲将, 毛屋公孝, 田中和真, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第77回応用物理学会秋季学術講演会  2016年9月 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ, 新潟   国名:日本国  

  • Time development of response of cells irradiated by non-thermal atmospheric air plasma 国際会議

    K. Koga, T. Amano, Y. Nakatsu, H. Seo, N. Itagaki, A. Tanaka, T. Kondo, M. Shiratani

    6th International Conference on Plasma Medicine (ICPM6)  2016年9月 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  • 低圧ヘリコン放電プラズマを用いたCO2のメタン化

    谷田知史, 都甲将, 片山龍, 古閑一憲, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016年9月 

     詳細を見る

    開催年月日: 2016年8月 - 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • マルチホロー放電プラズマCVD法によって作成されたSiナノ粒子の量子測定と太陽電池への応用

    張博辰, 坂本大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016年9月 

     詳細を見る

    開催年月日: 2016年8月 - 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • プラズマ中でレーザートラップされた微粒子を用いたプラズマのその場計測

    大友洋, 添島雅大, 森研人, 山下大輔, 徐鉉雄, 古閑一憲, 板垣奈穂, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016年9月 

     詳細を見る

    開催年月日: 2016年8月 - 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • ナノ粒子制御による高光安定なa-Si:H太陽電池セルの作成

    田中和真, 毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第10回プラズマエレクトロニクスインキュベーションホール  2016年9月 

     詳細を見る

    開催年月日: 2016年8月 - 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • Relationship between Electric Property and Surface Flatness of (ZnO)x(InN)1-X Films on ZnO Templates 国際会議

    K. Matsushima, N. Itagaki, M. Shiratani

    16th International Conference On Nanotechnology (IEEE NANO 2016)  2016年8月 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of RF power on Au-induced layer-exchange crystalline Ge formation using sputtering deposition 国際会議

    S. Tanami, Y. Oide, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    20th International Vacuum Congress (IVC-20)  2016年8月 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Time evolution of radical deposition rate and cluster amount (Invited) 招待 国際会議

    M. Shiratani, S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki and K. Koga

    20th International Vacuum Congress (IVC-20)  2016年8月 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Surface modification of polymeric catalyst for the catalytic enhancement of dye and quantum dot sensitized solar cells 国際会議

    H. Seo, M. K. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    20th International Vacuum Congress (IVC-20)  2016年8月 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Catalytic Activation of Polymer Composite Catalyst for Photochemical Solar Cells 国際会議

    H. Seo, M. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    The 67th Annual Meeting of the International Society of Electrochemistry  2016年8月 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  • Envelope analysis and cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas 国際会議

    K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani and S. Inagaki

    Workshop on Plasma surface interaction for technological applications  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Effects of hydrogen content on a-C:H films deposited by Ar+H2+C7H8 plasma CVD 国際会議

    K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki and M. Shiratani

    Workshop on Plasma surface interaction for technological applications  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Effects of clusters transport on hydrogenated amorphous silicon solar cells 国際会議

    T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    Workshop on Plasma surface interaction for technological applications  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • QCMを用いたLHD内ダスト堆積量のその場測定

    古閑一憲, 片山龍, 方韜鈞, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ

    第11回核融合エネルギー連合講演会  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • コンパクトドリフトチューブを用いたArプラズマとグラファイト壁の相互作用により発生したダストの捕集実験

    方韜鈞, 片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男

    第11回核融合エネルギー連合講演会  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • コンパクトドリフトチューブへのダスト入射角度に対する印加バイアス電圧の影響

    片山龍, 方韜鈞, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男

    第11回核融合エネルギー連合講演会  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Effects of hydrogen content on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD 国際会議

    K. Yamaki. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani

    23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Modulation level dependence of fluctuation of interactions between plasmas and nanoparticles grown in amplitude modulated discharges 国際会議

    K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of discharge power on transport characteristics of clusters in the downstream region of multi-hollow SiH4 discharges 国際会議

    T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)  2016年7月 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  • プラズマを用いた低温高速層交換結晶成長に対するRF電力の効果

    田浪荘汰, 大井手芳徳, 坂本大輔, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会)  2016年6月 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 不純物添加法を用いた高移動度アモルファスIn2O3:Sn膜の作製

    高崎俊行, 松島宏一, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成28年度九州表面・真空研究会2016(兼第21回九州薄膜表面研究会)  2016年6月 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • DC biased parallel plates for reduction of dust accumulation on first mirror 国際会議

    M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara

    International Conference on Plasma Surface Interactions in Controlled Fusion Devices (22nd PSI)  2016年5月 

     詳細を見る

    開催年月日: 2016年5月 - 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  • コンパクトドリフトチューブを用いたプラズマ生成ダスト捕集

    白谷正治, 片山龍, 古閑⼀憲, 山下大輔, 徐鉉雄, 板垣奈穂, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ

    第63回応用物理学会春季学術講演会  2016年3月 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • RFマグネトロンスパッタリング法で作製した(ZnO)x(InN)1-x膜の表⾯モフォロジー制御

    松島宏⼀, 井手智章, 山下大輔, 徐鉉雄, 古閑⼀憲, 白谷正治, 板垣奈穂

    第63回応用物理学会春季学術講演会  2016年3月 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • プラズマ中微粒子を用いたプラズマポテンシャルゆらぎの評価

    古閑一憲, 添島雅大, 伊藤鉄平, 徐鉉雄, 板垣奈穂, 白谷正治

    日本物理学会第71回年次大会  2016年3月 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北学院大学   国名:日本国  

  • プラズマによるクラスター捕捉を利用した低クラスター混入 a-Si:H 薄膜の作製

    都甲将, 毛屋公孝, 小島尚, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第7回薄膜太陽電池セミナー  2016年3月 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  • 色素及び量子ドット増感太陽電池の電解液に適用可能なポリマー触媒

    徐鉉雄, 田浪荘汰, 大井手芳徳, 板垣奈穂, 古閑一憲, 白谷正治

    第7回薄膜太陽電池セミナー  2016年3月 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  • A compact drift tube: a novel in-situ dust measurement method 国際会議

    M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science (ISPlasma2016/IC-PLANTS2016)  2016年3月 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Non-thermal atmospheric air plasma irradiation to plant seeds for plasma agricultural applications 国際会議

    M. Soejima, K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shirtani

    5th International Conference on Advanced Plasma Technologies (ICAPT-5)  2016年3月 

     詳細を見る

    開催年月日: 2016年2月 - 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スロベニア共和国  

  • Fluctuation in interaction potential between binary colliding fine particles suspended in plasmas 国際会議

    M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th International Conference on Advanced Plasma Technologies (ICAPT-5)  2016年3月 

     詳細を見る

    開催年月日: 2016年2月 - 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スロベニア共和国  

  • Deposition of high-mobility amorphous In2O3:Sn films by utilizing nitrogen mediated amorphization method 国際会議

    T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016年2月 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Inverse Correlation between Radical Deposition Rate and Cluster Amount in Gas Phase in SiH4 Discharge Plasmas for Si Thin Film Solar Cell Fabrication 国際会議

    S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016年2月 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Hybrid counter electrode of photochemical solar cells for iodine and polysulfide redox systems (Invited) 招待 国際会議

    H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016年2月 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Evaluation of SiH and SiH2 bonds in PIN a-Si:H solar cells using Raman spectroscopy 国際会議

    K. Keya, Y. Torigoe, S. Toko, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016年2月 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effects of annealing temperature on grain size of Au catalyst for layer-exchange Ge crystalline formation 国際会議

    S. Tanami, S. Hashimoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells  2016年2月 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Stable and low cost polymeric catalyst for dye-sensitized solar cells 国際会議

    H. Seo, M.-K. Son, N. Itagaki, K. Koga, M. Shiratani

    EMN Photovoltaics Meeting  2016年1月 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • シランマルチホロー放電プラズマ下流領域におけるクラスタ量の時間変化

    小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本大学   国名:日本国  

  • ダイバータシミュレータ内でのコンパクトドリフトチューブを用いたダスト捕集実験

    片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 増崎貴, 芦川直子, 時谷政行, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本大学   国名:日本国  

  • 反応性プラズマ中で形成されたナノ粒子によるレーザー散乱光強度のエンベロープ解析:変調周波数依存性

    森研人, 伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本大学   国名:日本国  

  • プラズマスパッタリングによる酸化シリコン中への埋め込みGeナノ粒子の粒径制御

    大井手芳徳, 橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本大学   国名:日本国  

  • O2/N2分圧比制御による高品質(ZnO)x(InN)1-x膜のスパッタリング形成

    松村勇希, 松島宏一, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本大学   国名:日本国  

  • Ar+H2+C7H8プラズマCVDで堆積したa-C:H膜へのイオン照射の効果

    山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第19回支部大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本大学   国名:日本国  

  • Analysis of Spatiotemporal Development of Interaction Fluctuation between Nanoparticles and Radicals in Reactive Plasmas 国際会議

    K. Koga, T. Ito, T. Kobayashi, M. Shiratani, S. Inagaki

    9th APSPT/28th SPSM  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Substrate Temperature on Film Growth Mode of ZnO-InN Pseudo Binary Alloys 国際会議

    K. Matsushima, T. Ide, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki

    9th APSPT/28th SPSM  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • DC biased compact drift tube for measuring dust transport

    R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group

    2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Arプラズマ中の2つのダスト粒子間のポテンシャル

    白谷正治, 添島雅大, 伊東鉄平, 徐鉉雄, 板垣奈穂, 古閑一憲

    第25回日本MRS年次大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館   国名:日本国  

  • クラスタ及びSiH3ラジカルのSiH2結合形成への寄与

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第25回日本MRS年次大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館   国名:日本国  

  • Photovoltaic Potentials of Nano-particles Based on Advanced Plasma Processes (Invited) 招待

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Performance Enhancement of Si Quantum Dotsensitized Solar Cells Based on Improved Charge Transfer

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 基板テクスチャ構造がa-Si:H薄膜中Si-H2結合形成に及ぼす影響

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第25回日本MRS年次大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館   国名:日本国  

  • a-Si:H膜中のSi-H2結合生成に対する表面反応の寄与

    鳥越祥宏, 毛屋公孝, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第25回日本MRS年次大会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館   国名:日本国  

  • 液中プラズマを用いたAuとPtナノ粒子の簡易作製法

    古閑一憲, 天野孝昭, Thapanut Sarinont, 徐鉉雄, 板垣奈穂, 白谷正治, 中津可道, 平田美由紀, 田中昭代

    平成27年度応用物理学会九州支部学術講演会  2015年12月 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:琉球大学   国名:日本国  

  • Chemical Ordering in ZnO-InN Pseudo Binary Alloys with Tunable Bandgap 国際会議

    K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2015 MRS Fall Meeting  2015年12月 

     詳細を見る

    開催年月日: 2015年11月 - 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • プラズマ中のクーロン衝突微粒子間引力

    古閑一憲,添島雅大,伊東鉄平,山下大輔,徐鉉雄,板垣奈穂,白谷正治,野口将之,内田誠一

    第32回プラズマ・核融合学会 年会  2015年11月 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • 核融合炉内ダスト計測用コンパクトドリフトチューブの試作

    片山龍, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第32回プラズマ・核融合学会 年会  2015年11月 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • Catalytic Activation in Polymeric Counter Electrode of Dye-sensitized Solar Cells by Surface Modification 国際会議

    H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani

    25th International Photovoltaic Science and Engineering Conference (PVSEC-25)  2015年11月 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Enhancement on Photocatalytic and Photovoltaic Properties of Dye-sensitized Solar Cells by S doped TiO2 国際会議

    H. Seo, S.-H. Nam, J.-H. Boo, N. Itagaki, K. Koga, M. Shiratani

    25th International Photovoltaic Science and Engineering Conference (PVSEC-25)  2015年11月 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • 新しいプラズマプロセス技術を用いた薄膜堆積

    古閑一憲, 田浪荘汰, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    接合科学共同利用・共同研究拠点 大阪大学接合科学研究所 平成27年度 共同研究成果発表会  2015年11月 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学接合科学研究所   国名:日本国  

  • Effects of Ion Energy on Chemical Bond Configuration in a-C:H Deposited using Ar + H2+ C7H8 Plasma CVD 国際会議

    K. Koga, X. Dong, K. Yamaki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka ,Y. Setsuhara

    37th International Symposium on Dry Process (DPS2015)  2015年11月 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Improving of Harvest Period and Crop Yield of Arabidopsis Thaliana L. using Nonthermal Atmospheric Air Plasma 国際会議

    K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shiratani

    American Vacuum Society 62nd International Symposium and Exhibition (AVS)  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Two Dimentional Visualization of Oxidation Effect of Scalable DBD Plasma Irradiation using KI-starch Solution 国際会議

    K. Koga, T. Amano, T. Sarinont, T. Kawasaki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Y. Nakatsu, A. Tanaka

    American Vacuum Society 62nd International Symposium and Exhibition (AVS)  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Deposition rate and etching rate due to neutral radicals and dust particles measured using QCMs together with a dust eliminating filter 国際会議

    R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, M. Tokitani, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Substrate temperature dependence of Au-induced crystalline Ge film formation using sputtering deposition 国際会議

    S. Tanami, D. Ichida, D. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Raman Spectroscopy of a -C:H Films Deposited Using Ar + H 2 + C 7 H 8 Plasma CVD 国際会議

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films 国際会議

    T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films 国際会議

    K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization 国際会議

    T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas 国際会議

    T. Ito, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, T. Kobayashi, S. Inagaki

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Cluster Incorporation into A-Si:H Films Deposited Using H 2 +SiH 4 Discharge Plasmas 国際会議

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Attraction during binary collision of fine particles in Ar plasma 国際会議

    M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Synthesis of indium-containing nanoparticles using plasmas in water to study their effects on living body 国際会議

    T. Amano, K. Koga, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, S. Kitazaki, M. Hirata, Y. Nakatsu, A. Tanaka

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Simple Evaluation Method of Atmospheric Plasma Irradiation Dose using pH of Water 国際会議

    K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, Y. Nakatsu, A. Tanaka, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Raman spectroscopy of PIN hydrogenated amorphous silicon solar cells 国際会議

    K. Keya, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Laser trapped single fine particle as a probe of plasma parameters 国際会議

    D. Yamashita, M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of N2 dilution on fabrication of Ge nanoparticles by rf sputtering 国際会議

    S. Hashimoto, S. Tanami, H. Seo, G. Uchida, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of electrode structure on characteristics of multi-hollow discharges 国際会議

    Y. Torigoe, K. Keya, S. Toko, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    ICRP9/GEC68/SPP33  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Novel polymer counter electrode of dye-sensitized solar cells 国際会議

    H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani

    66th Annual Meeting of the International Society of Electrochemistry  2015年10月 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • In-situ laser Raman spectroscopy of an optically trapped fine particle 国際会議

    K. Koga, M. Soejima, K. Tomita, T. Ito, H. Seo, N. Itagaki, M. Shiratani

    17th International Symposium on Laser-Aided Plasma Diagnostics (LAPD17)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月 - 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • RFスパッタリングによるGeナノ粒子作製への窒素希釈の効果

    橋本慎史, 田浪荘汰, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • 反応性プラズマ中ナノ粒子量揺らぎのクロスコリレーション解析

    伊東鉄平, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 小林達哉, 稲垣滋

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • 窒素添加結晶化法を用いたZnO膜作製におけるN2/Arプラズマ中のN原子絶対密度計測

    井手智章, 松島宏一, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • 成膜領域へのマルチホロー放電プラズマの拡散に対する電極構造の影響

    鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • 水素前方散乱分析法とフーリエ変換赤外分光法によるアモルファス炭素膜中水素濃度の比較分析

    Xiao Dong, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • 高移動度アモルファスIn2O3:Sn薄膜作製におけるN2/Arスパッタリングプラズマ中の窒素原子絶対密度計測

    高崎俊行, 井手智章, 松島宏一, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • ラマン分光法を用いたa-Si:H PIN太陽電池のP、I層およびPI界面の評価

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • マルチホロー放電プラズマCVD法におけるシランガス流速のクラスターに対するラジカル損失への影響

    都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • プラズマ中微粒子運動の2次元顕微解析

    添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 野口将之, 内田誠一

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • プラズマを用いた低温高速層交換結晶成長に対する金触媒膜厚の効果

    田浪荘汰, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • プラズマ・壁相互作用により発生したダスト堆積とプラズマ発光との相関

    片山龍, 古閑一憲, 山下大輔, Hyunwoong Seo, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • ZnInON膜作製時におけるAr/O2/N2プラズマ中のO原子及びN原子の絶対密度計測

    松島宏一, 井手智章, 高崎俊行, 竹田圭吾, 堀勝, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成27年度(第68回)電気・情報関係学会九州支部連合大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  • Hydrogen Concentration In Extremely Thin A-Si:H Fimls Deposited By Multi-Hollow Discharge Plasma CVD Method 国際会議

    Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Gas Flow Rate Ratio Dependence Of Properties Of Carbon Films Deposited Using Ar + H2+ C7H8 Plasma CVD 国際会議

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Requirements Of Buffer Layer For Heteroepitaxy Of ZnO On Lattice-Mismatched Substrate By Sputtering 国際会議

    M. Shiratani, T. Ide, K. Matsushima, T. Takasaki, H. Seo, K. Koga, N. Itagaki

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Performance Enhancement Of Organic/inorganic Hybrid Solar Cell By The Surface Modification At Si And Polymer Junction 国際会議

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Catalytic Enhancement On Polymeric Counter Electrode Of Si Quantum Dot-sensitized Solar Cells 国際会議

    H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Sputter Deposition Of Amorphous In2O3:Sn Films With High Mobility By Utilizing Nitrogen Mediated Amorphization Method 国際会議

    T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • アルゴンプラズマ中微粒子運動の画像解析によるプラズマパラメータ評価

    古閑一憲, 添島雅大, 徐鉉雄, 板垣奈穂, 白谷正治, 内田誠一

    日本物理学会 2015年秋季大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:関西大学   国名:日本国  

  • 反応性プラズマ中ナノ粒子とラジカルの非線形結合成分の時空間解析

    古閑一憲, 伊東鉄平, 徐鉉雄, 板垣奈穂, 白谷正治

    日本物理学会 2015年秋季大会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:関西大学   国名:日本国  

  • マルチホロー放電プラズマCVDにおける水素希釈のa-Si:H膜中クラスター混入量への影響

    都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第76回応用物理学会秋季学術講演会  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  • Effects of Cluster Eliminating Filter on Extremely Thin a-Si:H Films Deposited by SiH4 Multi-Hollow Discharges

    Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • AM変調を用いた反応性プラズマ中のナノ粒子揺らぎの時空間解析

    森研人, 伊東鉄平, 古閑一憲, 添島雅大, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治,小林達哉, 稲垣滋

    第9回プラズマエレクトロニクスインキュベーションホール  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • 膜中クラスター体積分率の水素ガス流量依存性

    小島尚, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第9回プラズマエレクトロニクスインキュベーションホール  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • 窒素媒介結晶化のスパッタ法によるZnO膜のエピタキシャル成長の窒素流量の影響

    松村勇希, 井手智章, 松島宏一, 山下大輔, Hyunwoong Seo, 古閑一憲, 白谷正治, 板垣奈穂

    第9回プラズマエレクトロニクスインキュベーションホール  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • 水素原子源付プラズマCVD法によるカーボンフィルムの堆積速度および膜質の制御

    山木健司, 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, 節原裕一, 竹中弘祐

    第9回プラズマエレクトロニクスインキュベーションホール  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • RFスパッタリング法を用いて作製したGeナノ粒子膜の増感型量子ドット太陽電池への応用

    大井手芳徳, 橋本慎史, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第9回プラズマエレクトロニクスインキュベーションホール  2015年9月 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • 体内動態観察を目的とした水中プラズマによるインジウムナノ粒子の作製と投与

    天野孝昭, 古閑一憲, 板垣奈穂, 白谷正治, 田中昭代, 平田美由紀

    新学術領域研究「プラズマ医療科学の創成」+「プラズマとナノ界面の相互作用に関する学術基盤の創成」+「統合的神経機能の制御を標的とした糖鎖の作動原理解明」合同公開シンポジウム  2015年8月 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学ESホール   国名:日本国  

  • Bispectrum analysis of fluctuation of nanoparticle amount in amplitude modulated capacitively-coupled discharge plasmas 国際会議

    M. Shiratani, T. Ito, K. Koga, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, T. Kobayashi, S. Inagaki

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015年7月 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ルーマニア  

  • Position fluctuation of a fine particle optically trapped in Ar plasma 国際会議

    M. Shiratani, M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015年7月 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ルーマニア  

  • Linear and Nonlinear Correlation between Deposition Rate and SiH Emission Intensity in SiH4 Multi-hollow Discharge Plasmas 国際会議

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015年7月 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ルーマニア  

  • Contribution of Clusters to SiH2 Bonds in a-Si:H Films 国際会議

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015)  2015年7月 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ルーマニア  

  • Third Generation Photovoltaics (Invited) 招待 国際会議

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    The 1st Asian Workshop on Advanced Plasma Technology and Application  2015年7月 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • Second and Third Generation Photovoltaics (Invited) 招待 国際会議

    M. Shiratani, K. Koga, H. Seo, N. Itagaki

    2015 International Forum on Functional Materials (IFFM2015)  2015年6月 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Site-selective Coating of Carbon Protective Layer on Sub-micron Trenches Using Plasma CVD 国際会議

    M. Shiratani, X. Dong, K. Koga, N. Itagaki, H. Seo, G. Uchida

    42nd International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2015)  2015年4月 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Enhancement on the Photovoltaic Properties of Dye-Sensitized Solar Cells with Catalytically Activated Polymeric Counter Electrode 国際会議

    H. Seo, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani

    2015 MRS Spring Meeting  2015年4月 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Crystalline Ge film deposition between Au catalyst film and quartz glass substrate using magnetron sputtering 国際会議

    M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga

    2015 MRS Spring Meeting  2015年4月 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of Gas Flow Rate on Deposition Rate and Amount of Si Clusters Incorporated into a-Si:H Films 国際会議

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of ZnInON-based multi-quantum well solar cells by RF magnetron sputtering 国際会議

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of ion irradiation on mass density of carbon films deposited by Hassisted plasma CVD 国際会議

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015)  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 非平衡プラズマスパッタリングによる高速低温層交換結晶成長

    古閑一憲, 市田大樹, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    日本物理学会第70回年次大会  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • スパッタを用いた低温高速層交換Ge結晶成長に対する基板温度の効果

    古閑一憲, 市田大樹, 橋本慎史, 徐鉉雄, 山下大輔, 板垣奈穂, 白谷正治

    第62回応用物理学会春季学術講演会  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  • 窒素添加結晶化法によるc面サファイア基板上へのZnO膜の作製:歪み緩和におけるバッファー層結晶粒密度の影響

    井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第62回応用物理学会春季学術講演会  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  • ワイドバンドギャップ半導体ZnInONをi層に用いたpin太陽電池の作製

    松島宏一, 清水僚太, 井手智章, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第62回応用物理学会春季学術講演会  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  • 水素化アモルファスシリコン薄膜中Si-H2結合生成に対するクラスタ混入とラジカル表面反応の寄与

    古閑一憲, 都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 白谷 正治

    第62回応用物理学会春季学術講演会  2015年3月 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  • Photovoltaic application of Si nano-particles fabricated by underwater discharge (Invited) 招待 国際会議

    H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Realization of highly stable a‐Si:H film by using cluster eliminating filter 国際会議

    2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hotel Raj, Cerkljena Gorenjskem   国名:スロベニア共和国  

  • Temporal development of nonlinear coupling between radicals and nanoparticles in reactive plasmas (Invited) 招待 国際会議

    K. Koga, T. Ito, H. Seo, N. Itagaki, and M. Shiratani

    The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スロベニア共和国  

  • Cluster suppressed deposition of a-Si:H films by employing non-linear phenomena in reactive plasmas (Invited) 招待 国際会議

    K. Koga, S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Gas Residence Time Effects of Cluster Incorporation into a-Si:H Films 国際会議

    S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of strained-induced ZnInON-based multi-quantum well solar cells by RF magnetron sputtering 国際会議

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of Si nanoparticles using plasmas in liquid for solar cell applications 国際会議

    S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas 国際会議

    Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    2015 Japan-Korea Joint Symposium on Advanced Solar Cells  2015年1月 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマCVDで作製されたa-Si:H膜中Si-H2結合形成に対するクラスター混入と表面反応の寄与

    都甲将, 鳥越祥宏, 毛屋公孝, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVD法で作製したナノ結晶シリコン薄膜を用いた光学バンドギャップ制御

    金光善徳, 古閑一憲, 山下大輔, 鎌滝普礼, 徐鉉雄, 板垣奈穂, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマ・壁相互作用により発生したダストの容器壁堆積のその場検出

    立石瑞樹, 片山龍, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • シランマルチホロー放電の発光強度の圧力依存性

    鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • RFマグネトロンスパッタリングと金薄膜触媒を用いた低温高速層交換Ge結晶成長

    市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • LHD内バイアス基板への長期ダスト堆積実験

    片山龍, 立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 時谷政行, 増崎貴, 西村清彦 相良明男, LHD実験グループ

    プラズマ・核融合学会九州・沖縄・山口支部 第18回支部大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマナノプロセシングにおける揺らぎ抑制のための戦略

    白谷正治, 古閑一憲, 徐鉉雄, 板垣奈穂

    第24回日本MRS年次大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館   国名:日本国  

  • マルチホロー放電プラズマCVD法で堆積したSiナノ粒子含有膜の光学バンドギャップ制御

    金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第24回日本MRS年次大会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館   国名:日本国  

  • Contributions of ion irradiation to the characteristics of a-C:H films deposited by H-assisted plasma CVD method

    2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 窒素添加スパッタリング法による高移動度アモルファスIn2O3:Sn 膜の作製

    高崎俊行, 中西貴彦, 山下大輔, 徐鉱雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成26年度応用物理学会九州支部学術講演会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学   国名:日本国  

  • マルチホロー放電の上流領域に輸送された クラスタ量の水素希釈の効果

    毛屋公孝, 鳥越祥宏, 都甲将, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度応用物理学会九州支部学術講演会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学   国名:日本国  

  • プラズマ中に光捕捉した微粒子の挙動解析

    添島雅大, 伊東鉄平, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度応用物理学会九州支部学術講演会  2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学   国名:日本国  

  • Evaluaion of Si-H2 bond formation of a-Si:H film using cluster eliminating filter

    2014年12月 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Performance Dependence of Perovskite Solar Cells on the Ratio of Lead Iodide and Methylammonium Iodide 国際会議

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2014 MRS Fall Meeting  2014年12月 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Morphology Control of Buffer Layers for Growth of Single-Crystalline ZnO Films on Lattice Mismatched Substrates 国際会議

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2014 MRS Fall Meeting  2014年12月 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Fabrication of Strained Multi-Quantum Well Solar Cells Utilizing ZnInON 国際会議

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2014 MRS Fall Meeting  2014年12月 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Optical Analysis on Perovskite Films According to CH3NH3I and PbI2 Concentration 国際会議

    H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga and M. Shiratani

    6th World Conferenceon Photovoltaic Energy Conversion  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Gas Residence Time on Amount of Si Clusters Incorporated into a-Si:H Films 国際会議

    S. Toko, Y. Torigoe, Y. Kanemitu, H. Seo, N. Itagaki, K. Koga and M. Shiratani

    6th World Conferenceon Photovoltaic Energy Conversion  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Analysis of coupling between nanoparticles and radicals using perturbation of radical density in reactive plasmas 国際会議

    K. Koga, T. Ito, H. Seo, N. Itagaki, M. Shiratani

    Plasma Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    Analysis of coupling between nanoparticles and radicals using pertubataion of radical density in reactive plasmas

  • Fabrication of crystalline Ge films using RF sputtering and metal catalyst 国際会議

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Plasma Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    Fabrication of crystal Ge films using RF sputtering and metal catalyst

  • In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall 国際会議

    M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    Plasma Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall

  • Effects of dust deposition on optical characteristics of substrates 国際会議

    R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    Plasma Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    Effects of dust deposition on optical characteristics of substrates

  • Fabrication of Ge films for applying solar cells using RF magnetron sputtering and gold film catalyst 国際会議

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Global Photovoltaic Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Quantum characterization of Si nano-particles fabricated by multi-hollow discharge plasma chemical vapor deposition 国際会議

    H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Global Photovoltaic Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Improvement on charge transportation of Si quantum dot-sensitized solar cell using vanadium doped TiO2 国際会議

    H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. H. Nam, J. H. Boo

    Global Photovoltaic Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Fabrication of Si nanoparticles using plasmas in water for organic/inorganic hybrid solar cells 国際会議

    S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    Global Photovoltaic Conference 2014  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effects of amplitude modulated VHF discharge on coupling between plasmas and nanoparticles 国際会議

    K. Koga, T. Ito, K. Kamataki, H. Seo, N. Itagaki, and M. Shiratani

    24th International Toki Conference  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Novel method of Ge crystalline thin film deposition on SiO2 by sputtering 国際会議

    M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga

    67th Annual Gaseous Electronics Conference  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Modulation frequency dependence of bispectrum of laser light scattering intensity from nanoparticles formed in reactive plasmas 国際会議

    T. Ito, D. Yamashita, H. Seo, K. KamatakiI, N. Itagaki, K. Koga, M. Shiratani

    67th Annual Gaseous Electronics Conference  2014年11月 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of Dust Deposition on Optical Characteristics of Mirrors Installed on First Wall in LHD 国際会議

    R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    15th Workshop on Fine Particle Plasmas  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Development of an in-situ Detection Method of Dust Particles Deposited on Vessel Wall Using Quartz Crystal Microbalances 国際会議

    M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    15th Workshop on Fine Particle Plasmas  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Size-controlled Ge Nanoparticle Synthesis and Their Application to Quantum-dot Solar Cells 国際会議

    G. Uchida, D. Ichida, H. Seo, S. Hashimoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th Workshop on Fine Particle Plasmas  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Single Fine Particle Trapped in Ar Plasma by Optical Tweezers (Invited) 招待 国際会議

    M. Shiratani, T. Ito, M. Soejima, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki

    15th Workshop on Fine Particle Plasmas  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • S i ナノ粒子を用いたハイブリッド型太陽電池の研究

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第6回薄膜太陽電池セミナー2014  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:広島大学   国名:日本国  

  • 色素増感太陽電池のポリマー触媒の改善

    徐鉉雄, 橋本慎史, 市田大樹, 板垣奈穂, 古閑一憲, 白谷正治

    第6回薄膜太陽電池セミナー2014  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:広島大学   国名:日本国  

  • マルチホロー放電プラズマで作製したa-Si:H膜中クラスター量の水素-シラン混合比依存性

    毛屋公孝, 都甲将, 鳥越祥宏, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第6回薄膜太陽電池セミナー2014  2014年10月 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:広島大学   国名:日本国  

  • Si量子ドットを用いたハイブリッド型太陽電池の研究

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度(第67回)電気・情報関係学会九州支部連合大会  2014年9月 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:鹿児島大学   国名:日本国  

  • マルチホロー放電プラズマCVDによるSi量子ドットの作製と新太陽電池への応用研究

    徐鉉雄, 橋本慎史, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度(第67回)電気・情報関係学会九州支部連合大会  2014年9月 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:鹿児島大学   国名:日本国  

  • 酸窒化物半導体ZnInONを用いた量子井戸型太陽電池の作製

    松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    第75回応用物理学会秋季学術講演会  2014年9月 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  • Effects of hydrogen dilution to nanoparticle formation in silane hydrogen mixture multi-hollow discharge plasmas 国際会議

    Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, and M. Shiratani

    12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM)  2014年9月 

     詳細を見る

    開催年月日: 2014年8月 - 2014年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストラリア連邦  

  • Plasma CVD nanostructured films for energy applications (Invited) 招待 国際会議

    M. Shiratani, H. Seo, N. Itagaki, G. Uchida, K. Koga

    12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM)  2014年9月 

     詳細を見る

    開催年月日: 2014年8月 - 2014年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストラリア連邦  

  • Fabrication of tandem dye-sensitized solar cell based on mesh-type of counter electrode 国際会議

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    65th Annual Meeting of the International Society of Electrochemistry  2014年9月 

     詳細を見る

    開催年月日: 2014年8月 - 2014年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スイス連邦  

  • Counter electrode based on conductive polymer for cost-effective dye-sensitized solar cells 国際会議

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • RF Magnetron sputtering of low-resistive ZnO:Ga films with buffer layers fabricated via nitrogen mediated crystallization 国際会議

    T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Epitaxial growth of sputter-deposited ZnO films via nitrogen mediated crystallization: effects of nitrogen flow rate 国際会議

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Epitaxial growth of In-rich ZnInON films by RF magnetron sputtering 国際会議

    K. Matsuhima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Epitaxial growth of a novel widegap semiconductor ZnGaON by magnetron sputtering 国際会議

    R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Synthesis of In nanoparticles using RF sputtering for evaluating nanoparticle kinetics in living body 国際会議

    T. Amano, K. Koga, T. Sarinont, N. Itagaki, M. Shiratani, N. Hayashi, Y. Nakatsu, T. Tsuzuki, M. Hirata, A. Tanaka

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Si QD/PEDOT:PSS Hybrid Solar Cells Using Si Nanoparticles Fabricated by Multi-Hollow Plasma CVD 国際会議

    S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Real Time Deposition Measurement of Dust Particles Generated by Plasma-Wall Interaction 国際会議

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Hydrogen Concentration Associated with Si-H2 Bonds in Films Deposited by High Frequency Multi-Hollow Discharge Plasma CVD Method 国際会議

    Y. Torigoe, Y. Hashimoto, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Substrate Temperature on Defect Density of Ge Nanoparticle Films 国際会議

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Stability of nanoparticle growth processes in reactive plasmas 国際会議

    M. Shiratani, H. Seo, K. Koga, N. Itagaki

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatiotemporal Analysis of Nanoparticle Growth in Amplitude Modulated Reactive Plasmas for Understanding Interactions between Plasmas and Nanomaterials (Invited) 招待 国際会議

    K. Koga, Y. Morita, T. Ito, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Raman spectroscopy of optically trapped single fine particle in plasmas 国際会議

    T. Ito, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Hysteresis of Cluster Amount in Multi-Hollow Discharge Plasma CVD Method 国際会議

    S. Toko, Y. Hasahimoto, Y. Kanemitsu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    15th IUMRS-International Conference in Asia  2014年8月 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Photovoltaic Application of Polymer: Dye-sensitized Solar Cell and Organic/inorganic Hybrid Solar Cell (Invited) 招待 国際会議

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014)  2014年7月 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Suppression of Cluster Incorporation into a-Si:H Films Using a Cluster Eliminating Filter 国際会議

    Y. Torigoe, C. Weiting, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, and M. Shiratani

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014)  2014年7月 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Photovoltaic Properties of Organic/Inorganic Hybrid Solar Cells with Improved Electrode 国際会議

    H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2014 International Symposium on Green Manufacturing and Applications (ISGMA 2014)  2014年6月 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • プラズマ CVD で作成したGe半導体薄膜の光電特性

    市田大樹, 橋本慎史, 内田儀一郎, 徐鉉雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成26年度九州表面・真空研究会2014(兼第19回九州薄膜表面研究会)  2014年6月 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡教育大学   国名:日本国  

  • Real time mass measurement of dust particles deposited on vessel wall using quartz crystal microbalances in a divertor simulator 国際会議

    K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    21th International Conference on Plasma Surface Interactions (PSI2014)  2014年5月 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dust collection with dc-biased substrates in large helical device 国際会議

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    21th International Conference on Plasma Surface Interactions (PSI2014)  2014年5月 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • In-situ Measurements of Volume Fraction of cDusters in Films During Plasma CVD 国際会議

    M. Shiratani, S. Toko, K. Koga, N. Itagaki, H. Seo

    International Conference on Metallurgical Coatings and Thin Films(ICMCTF 2014)  2014年5月 

     詳細を見る

    開催年月日: 2014年4月 - 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Si/SiC coreshell nanoparticle composite anode for Li ion batteries 国際会議

    M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    2014 MRS Spring Meeting  2014年4月 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • ナノ粒子含有振幅変調放電プラズマ中のAr準安定原子密度

    白谷正治, 古閑一憲, 森田康彦, 伊東鉄平, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂

    日本物理学会 第69回年次大会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  • RFスパッタリングによるInナノ粒子の作製とそのラット体内の動態

    天野孝昭, 古閑一憲, Sarinont Thapanut, 板垣奈穂, 白谷正治, 林信哉, 中津可道, 續輝久, 平田美由紀, 田中昭代

    2014年 第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  • 反応性プラズマにおけるナノ粒子成長のバイスペクトル解析

    森田康彦, 伊東鉄平, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲 , 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  • 水素原子源付きプラズマCVDで作製した炭素薄膜の堆積速度:圧力と電極間距離への依存性

    董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  • プラズマ中に光捕捉した単一微粒子を用いたプラズマと界面の相互作用評価

    伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  • シランプラズマ中のクラスター量に対する振幅変調放電の効果

    都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    2014年 第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  • 反応性プラズマ中のナノ粒子成長とプラズマ揺らぎ

    白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂

    2014年 第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  • RFマグネトロンスパッタリング法による高In組成ZnInON膜の作製

    松島宏一, 清水僚太, 井手智章, 山下大輔, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    2014年 第61回応用物理学会春季学術講演会  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  • Transport of fine particles produced by interactions between H2 plasmas and carbon wall 国際会議

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014)  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of Qunatum-Dot Sensitized Solar Cells Using Ge Nanoparticle Films Deposited by High Pressure Rf Magnetron Sputtering Method 国際会議

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014)  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Amplitude Modulation of Discharge Voltage on Volume Fraction of Clusters in Si Thin Films Depoisted by Multi-Hollow Discharge Plasma CVD 国際会議

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014)  2014年3月 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Band gap control of nc-Si thin films deposited multi-hollow discharge plasma CVD 国際会議

    Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Photocurrent generation of quantum-dot sensitized solar cells using group-IV semiconductor nanoparticle films (Invited) 招待 国際会議

    G. Uchida, D. Ichida, S. Hashimoto, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Sputtering Fabrication of novel semiconductor ZnGaON with wide bandgap for optoelectronic devices 国際会議

    R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, and N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Si nano-particles: Its quantum characteristics and application for photovoltaics (Invited) 招待 国際会議

    H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Selective removal of clusters in silane plasmas by cluster eliminating filter 国際会議

    Y. Hashimoto, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Magnetron sputtering of In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization 国際会議

    K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization by RF Magnetron Sputtering Method 国際会議

    T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Flux Control of Dust Particles Generated due to Interactions between Graphite Wall and H2 Plasmas using DC Biased Substrates 国際会議

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Fabrication of Size-controlled Ge Nanoparticle Films Using High Pressure RF Sputtering Method for Quantum Dot Solar Cell 国際会議

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Fabrication of InN-rich ZnInON films for photovoltaic appplications by RF magnetron sputtering 国際会議

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of highly stable a-Si:H solar cells by suppressing cluster incorporation (Invited) 招待 国際会議

    K. Koga, Y. Hashimoto, S. Toko, D. Yamashita, Y. Torigoe, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Evaluation of Interactions between Plasmas and Interface using Optically Trapped Single Fine Particle 国際会議

    T. Ito, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Epitaxial growth of ZnO films on lattice mismatch substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization 国際会議

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Effects of Hydrogen Dilution on Structure of Ge Quantum Dot Film Deposited by Using High Pressure rf Sputtering 国際会議

    S. Hashimoto, D. Ichida, K. Kamataki, H. Seo, G. Uchida, N. Itagaki,, K. Koga, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Effects of Gas Pressure on Deposition Rate of Anisotropic Plasma CVD 国際会議

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Effects of Amplitude Modulation on Stability of Hydrogenated Amorphous Silicon Films Deposited using Multi-Hollow Discharge Plasma CVD Method 国際会議

    Y. Torigoe,Y. Hashimoto, S. Toko, D. Yamashita, N. Itagaki, H. Seo, K. Kamataki, K. Koga, M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Amplitude Modulation of Discharge Voltage on Cluster Amount in Downstream Region of Multi-Hollow Silane Discharge Plasmas Studied by Laser Light Scattering Method 国際会議

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    2014 Japan-Korea Joint Symposium on Advanced Solar Cells  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Coupling between radicals in plasmas and nanoparticle growth in initial growth phase in reactive plasmas with amplitude modulation 国際会議

    K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Bispectrum analysis of nanoparticle growth in reactive dusty plasmas 国際会議

    Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method 国際会議

    Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Quantum characterization and photovoltaic application of Si nano-particles fabricated by multi-hollow plasma discharge chemical vapor deposition 国際会議

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries 国際会議

    G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Epitaxial growth of ZnO films on sapphire substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization 国際会議

    T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited) 招待 国際会議

    K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas 国際会議

    M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Subacute toxicity of gallium arsenide, indium arsenide and arsenic trioxide following intermittent intrantracheal instillations to the lung of rats 国際会議

    A. Tanaka, M. Hirata, K. Koga, N. Itagaki, M. Shiratani, N. Hayashi, G. Uchida

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study on nitrogen desorption behavior of sputtered ZnO for transparent conducting oxide 国際会議

    I. Suhariadi, K. Oshikawa, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputtering fabrication of a novel widegap semiconductor ZnGaON for optoelectronic devices with wide bandgap for optical device 国際会議

    R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter Deposition of Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization 国際会議

    T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatial profile of flux of dust particles in hydrogen helicon plasmas 国際会議

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Raman spectroscopy of a fine particle optically trapped in plasma 国際会議

    D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Pressure dependence of carbon film deposition using H-assisted plasma CVD 国際会議

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method 国際会議

    T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization 国際会議

    K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation 国際会議

    S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering 国際会議

    K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation 国際会議

    Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter 国際会議

    Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method 国際会議

    D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method 国際会議

    Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering 国際会議

    S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31)  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Ultrathin ZnO films for transparent conductors and plasmonics 国際会議

    D. C. Look, B. Wang, K. D. Leedy, D. B. Thomson, N. Itagaki, K. Matsushima, I. Surhariadi

    International Society for Optics and Photonics, Photonics West 2014  2014年2月 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Solar cells using nanoparticles of group IV semiconductor aiming at MEG effects 国際会議

    G. Uchida, H. Seo, D. Ichida, N. Itagaki, K, Koga, M. Shiratani

    6th International Symposium on Innovative Solar Cells  2014年1月 

     詳細を見る

    開催年月日: 2014年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Nanoparticle composite plasma CVD films Fundamental and applications (Invited) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki

    The 9th EU-Japan Joint Symposium on Plasma Processing  2014年1月 

     詳細を見る

    開催年月日: 2014年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スロベニア共和国  

  • Ga添加酸化亜鉛薄膜に対する窒素添加結晶化法を用いた酸化亜鉛バッファー層の効果

    押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐世保工業高等専門学校   国名:日本国  

  • マルチホロー放電プラズマCVDにおけるa-Si:H膜中クラスター混入量に対する振幅変調放電の効果

    都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐世保工業高等専門学校   国名:日本国  

  • マグネトロンスパッタ法による窒素添加結晶化バッファー層を用いた低抵抗In2O3:Sn薄膜の作製

    押川晃一郎, 板垣奈穂, 白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 山下大輔, I. Suhariadi

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐世保工業高等専門学校   国名:日本国  

  • スパッタリング法による狭ギャップ半導体ZnInON膜の高品質結晶成長

    松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部第17回支部大会  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐世保工業高等専門学校   国名:日本国  

  • プラズマを用いたⅣ族半導体ナノ粒子膜の堆積とそのデバイス応用 (Invited) 招待

    内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第16回プラズマエレクトロニクス分科会 プラズマ新領域研究会  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大学利用施設UNITY, 神戸   国名:日本国  

  • Correlation between Plasma Fluctuation and Nanoparticle Amount in Initial Growth Phase in Reactive Plasmas with Amplitude Modulation

    K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani

    14th Workshop on Fine Particle Plasmas  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Transport of Carbon Dust Particles Produced by Interactions between H2 Plasmas and Graphite Target

    M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, LHD Experimental Group

    14th Workshop on Fine Particle Plasmas  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Ge nanoparticle composite films and their application to solar cells

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    23rd Annual Meeting of MRS-JAPAN 2013  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Quantum characteristics of crystalline Si nano-particles and their application for photochemical solar cells (Invited) 招待

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    23rd Annual Meeting of MRS-JAPAN 2013  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Morphological Characterization of ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization: Effects of Substrate Temperature

    I. Suhariadi, K. Oshikawa, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    23rd Annual Meeting of MRS-JAPAN 2013  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Magnetron sputtering of low-resistive transparent conductive oxide films with double buffer layers fabricated via nitrogen mediated crystallization

    23rd Annual Meeting of MRS-JAPAN 2013  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time evolution of spatial profile of nanoparticle amount in amplitude modulated capacitively coupled reactive plasmas

    2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama Port Opening Plaza   国名:日本国  

  • Effects of Amplitude Modulation of Discharge Voltage of Multi-Hollow Silane Discharges on Amount of Clusters Formed in the Discharges

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    23rd Annual Meeting of MRS-JAPAN 2013  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Quantum dot sensitized solar cells using group IV semiconductor nanoparticles (Invited) 招待 国際会議

    K. Koga, G. Uchida, D. Ichida, S. Hashimoto, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani

    2013 EMN Fall Meeting  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • ナノ粒子成長に対するプラズマ摂動周波数の効果

    古閑一憲, 森田康彦, 岩下伸也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 第30回年会  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • 水素プラズマとグラファイト壁の相互作用により発生するダスト粒子の輸送

    立石瑞樹, 古閑一憲, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 第30回年会  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  • Novel metal oxinitride materials for optoelectronic applications (Invited) 招待 国際会議

    M. Shiratani, N. Itagaki., K. Matsushima, R. Shimizu, H. Seo, K. Koga

    2013 EMN Fall Meeting  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Nanostructure control of Si-based solar cells using plasma CVD (Invited) 招待 国際会議

    M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, K. Kamataki

    THERMEC 2013  2013年12月 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  • RFマグネトロンスパッタリング法を用いて作製したGeナノ粒子膜の特性

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013年12月 

     詳細を見る

    開催年月日: 2013年11月 - 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • マグネトロンスパッタ法による格子不整合基板上へのエピタキシャルZnO膜の作製:窒素添加結晶化バッファー層の効果

    井手智章, 松島宏一, 清水僚太, 山下大輔, 徐鉉雄, 古閑一憲, 白谷正治, 板垣奈穂

    平成25年度応用物理学会九州支部学術講演会  2013年11月 

     詳細を見る

    開催年月日: 2013年11月 - 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • 反応性プラズマ中で発生するナノ粒子量の時空間分布

    伊東鉄平, 森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013年12月 

     詳細を見る

    開催年月日: 2013年11月 - 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • 振幅変調マルチホロー放電プラズマCVD法を用いた 水素化アモルファスシリコン薄膜の作製

    鳥越祥宏, 橋本優史, 都甲将, 金淵元, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    平成25年度応用物理学会九州支部学術講演会  2013年12月 

     詳細を見る

    開催年月日: 2013年11月 - 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • Control of plasma CVD films containing group IV nanoparticles (Invited) 招待 国際会議

    M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga

    International Conference on Surface Engineering (ICSE 2013)  2013年11月 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Performance enhancement of Si quantum dot-sensitized solar cells based on Si functionalization and multi-layered structure 国際会議

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    International Conference on Surface Engineering (ICSE 2013)  2013年11月 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • 高効率太陽電池のための狭バンドギャップZnInON膜の作成-[O]/([O]+[N])依存性-

    松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    第5回薄膜太陽電池セミナー  2013年11月 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • 膜中クラスター退席分率のAM変調依存性

    都甲将, 橋本優史, 金光善徳, 鳥越祥宏, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第5回薄膜太陽電池セミナー  2013年11月 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • Fabrication of Dye and Si Quantum Dot Co-sensitized Solar Cells for Performance Enhancement 国際会議

    H. Seo, M.-K. Son, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 2nd International Conference on Advanced Electromaterials (ICAE 2013)  2013年11月 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Deposition of Size-controlled Ge Nanoparticle Film by Highpressure rf Magnetron Sputtering for Quantum Dot Solar Cells 国際会議

    D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    AVS 60th International Symposium and Exhibition  2013年10月 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

  • PS+TF-MoA11 Two-dimensional Growth of Novel ZnO based Semiconductor ZnInON with Tunable Bandgap by Magnetron Sputtering 国際会議

    K. Matsushima, R. Shimizu, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    AVS 60th International Symposium and Exhibition  2013年10月 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

  • Photocurrent Generation Characteristics of Ge Quantum-Dot Solar Cells 国際会議

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    AVS 60th International Symposium and Exhibition  2013年10月 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

  • Deposition of Ge Nanoparticle Films and Their Application to Ge Quantum-dot Sensitized Solar Cells 国際会議

    K. Koga, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani

    The 23rd International Photovoltaic Science and Engineering Conference  2013年11月 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • Selective Removal of Clusters in Silane Plasmas Using Cluster Eliminating Filter 国際会議

    Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 23rd International Photovoltaic Science and Engineering Conference  2013年10月 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • The performance enhancement of dye and quantum dot hybrid nanostructured solar cell with barrier coating 国際会議

    H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Taiwan Associatoin for Coatings and Thin Films Technology  2013年10月 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  • Cluster control plasma CVD for fabrication of stable a-Si:H solar cells 国際会議

    M. Shiratani, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, N. Itagaki, K. Koga

    66th Annual Gaseous Electronics Conference  2013年10月 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Sputtering deposition of ZnGaInON with tunable bandgap for photovoltaics 国際会議

    R. Shimizu, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    66th Annual Gaseous Electronics Conference  2013年10月 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Cluster incorporation during amplitude modulated VHF discharge silane plasmas 国際会議

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    66th Annual Gaseous Electronics Conference  2013年10月 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Ar/N2 Magnetron Sputtering Discharges to Control Growth of Transparent Conducting Oxide Films 国際会議

    K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    66th Annual Gaseous Electronics Conference  2013年10月 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • ナノ粒子含有プラズマ系におけるナノ粒子成長

    白谷正治, 森田康彦, 岩下伸也, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 鎌滝晋礼

    日本物理学会2013年秋季大会  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:徳島大学(常三島キャンパス)   国名:日本国  

  • Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • マルチホロー放電プラズマCVD法で堆積したSiナノ粒子膜の光学的バンドギャップ制御

    金光善徳, 内田儀一郎, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第7回プラズマエレクトロニクスインキュベーションホール  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • ハイスピードカメラを用いたナノ粒子成長プロセスの観測

    伊東鉄平, 森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治

    第7回プラズマエレクトロニクスインキュベーションホール  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • シリコン量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化

    橋本慎史, 市田大樹, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第7回プラズマエレクトロニクスインキュベーションホール  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • Photocarrier generation in quantum-dot sensitized solar cells using Ge nanoparticle films 国際会議

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Solid State Devices and Materials 2013 (SSDM)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Amplitude modulation frequency dependence of nanoparticle amount in plasmas

    Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Production of SiC nanoparticles for Li-ion battery applications by using a high pressuremulti-hollow discharge plasma CVD

    G. Uchida, D. Ichida, Y. Kanemitsu, H. Seo, K. Kamataki, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Plasma Etching of Single Fine Particle Trapped By Optical Tweezers

    M. Shiratani, D. Yamashita, K. Koga, K. Kamataki, N. Itagaki, G. Uchida

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of RF power on structure of Ge nanoparticle films deposited by high-pressure RFmagnetron sputtering method

    D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas

    M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of filter gap of cluster eliminating filter on cluster eliminating efficiency

    Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dependence of Volume Fraction of Si Clusters in Films on Amplitude Modulation Frequencyin Multi-Hollow Discharge Plasma CVD Method

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Carbon Nanostructure formed by high pressure methane plasmas

    K. Koga, S. Iwashita, G. Uchida, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, U. Czarnetzki

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time Evolution of Ar Emission Intensities of Ar + H2 + Toluene Plasmas in H-assistedPlasma CVD Reactor

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani

    The 26th Symposium on Plasma Science for Materials (SPSM-26)  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 反応性プラズマにおけるナノ粒子量空間分布の変調周波数依存性

    森田康彦, 岩下伸也, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  • Performance Dependence of Si Quantum Dot-Sensitized Solar Cells on Counter Electrode 国際会議

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2013 JSAP-MRS Joint Symposia  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • マルチホロー放電プラズマからのクラスター流出量のガス流速依存性

    都甲将, 金淵元, 橋本優史, 金光善徳, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  • RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInON膜の作製-Ar分圧依存性-

    松島宏一, 清水僚太, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  • Comparative Acute Pulmonary Toxicity of Different Types of Indium-Tin Oxide Following Intermittent Intratracheal Instillation to the Lung of Rats 国際会議

    K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki and G. Uchida

    2013 JSAP-MRS Joint Symposia  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • シラン放電プラズマ初期のクラスター発生と膜への取り込み

    橋本優史, 都甲将, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  • RFスパッタリング法を用いた結晶Geナノ粒子膜の堆積

    市田大樹, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第74回応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  • クラスタ抑制法を用いた高光安定アモルファスシリコンPIN太陽電池の作製

    古閑一憲, 橋本優史, 金淵元, 都甲将, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治

    プラズマ研究会  2013年9月 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • Contribution of ionic deposition precursors to Si thin film deposition 国際会議

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    Dry Process Symposium 2013  2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Effects of amplitude modulation of rf discharge voltage on silane plasmas in initial phase 国際会議

    Y. Kim, S. Toko, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    Dry Process Symposium 2013  2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Deposition of Ge nanoparticle films by high-pressure rf magnetron sputtering method for quantum dot solar cells 国際会議

    G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Formation of self-organized nanostructures using high pressure CH4+Ar plasmas 国際会議

    K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, M. Shiratani and U. Czarnetzki

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Characteristics of GeSn nanoparticle films deposition by high-pressure rf magnetron sputtering method 国際会議

    D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Tuning Size Distribution of Nanoparticles Formed in Reactive Plasmas using Plasma Parameter Modulation 国際会議

    M. Shiratani, Y. Morita, S. Iwashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Safety Issues on Plasma Life Sicences (Invited) 招待 国際会議

    M. Shiratani, K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki, G. Uchida

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Performance Enhancement of Si Quantum Dot-sensitized Solar Cell Using vanadium Doped TiO2 国際会議

    H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. Nam, J. Boo

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Time evolution of spatial profile of nanoparticle amount in reactive plasmas 国際会議

    Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Sputtering Fabrication of ZnInON films with Tunable Bandgap for Heterojunction Solar Cells 国際会議

    R. Shimizu, K. Matsushima, Tadashi Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, and N. Itagaki

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Effects of atmospheric air plasma treatments of seeds on plant growth 国際会議

    T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani and N. Hayashi

    2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Coreshell nanoparticles generated by plasma CVD and their applications to Li ion batteries 国際会議

    M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga

    21st International Symposium on Plasma Chemistry (ISPC21)  2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:オーストラリア連邦  

  • Observation of nanoparticle growth process using high speed camera 国際会議

    Y. Morita, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, D. Yamashita and M. Shiratani

    21st International Symposium on Plasma Chemistry (ISPC21)  2013年8月 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:オーストラリア連邦  

  • Combinatorial plasma CVD of Si nanoparticle composite films for band gap control 国際会議

    Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Study on the crystal growth mechanism of ZnO films fabricated via nitrogen mediated crystallization 国際会議

    I. Suhariadi, K. Oshikawa, H. Seo, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of plasma incident angle of dust production due to interactions between hydrogen plasmas and carbon wall 国際会議

    M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group d, S. Bornholdte, H. Kersten

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of grid DC bias on incorporation of Si clusters into amorphous silicon films by multi-hollow discharge plasma CVD 国際会議

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Transport of nanoparticles produced in reactive plasmas using a positively-biased collector 国際会議

    Y. Morita, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Infrared Laser Manipulation of Single Fine Particle Levitated at Plasma/Sheath Boundary 国際会議

    D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • High Pressure Nonthermal Methane Plasmas for Nanoparticle Production 国際会議

    K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, U. Czarnetzki

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of H2 gas addition on structure of Ge nanoparticle films deposited by high-pressure rf magnetron sputtering method 国際会議

    D. Ichida, G. Uchida, H. Seo, K. Kamatakia, N. Itagaki, K. Koga, M. Shiratani

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas 国際会議

    M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga

    The 12th Asia Pacific Physics Conference (APPC12)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Layer by layer deposition of ZnO buffer layers fabricated via nitrogen mediated crystallization for ZnO:Al transparent conducting oxide 国際会議

    I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    International Symposium on Sputtering and Plasma Processes (ISSP2013)  2013年7月 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Analysis on the paint-type Si quantum dot-sensitized solar cells 国際会議

    H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    International Symposium on Green Manufacturing and Applecations  2013年6月 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Control of nanostructure of plasma CVD Si thin films (Invited) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, and K. Kamataki

    Japan-Australia Workshop on Gaseous Electronics and Its Applications (JAWS25)  2013年6月 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:オーストラリア連邦  

  • Dust flux control in high density hydrogen plasmas using DC biased substrates 国際会議

    M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013年5月 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Advanced plasma sources and processes for energy harvesting devices (Invited) 招待 国際会議

    M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and K. Koga

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013年5月 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Advance in quantum dot-sensitized solar cells using group IV semiconductor nano-particles (Invited) 招待 国際会議

    H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013年5月 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Volume fraction of clusters in Si thin films in initial deposition phase of plasma CVD 国際会議

    S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani

    The 17th International Workshop on Advanced Plasma Processing and Diagnostics  2013年5月 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Nanostructure of plasma CVD films containing nanoparticles (Invited) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki

    International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2013)  2013年4月 

     詳細を見る

    開催年月日: 2013年4月 - 2013年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Silicon cluster volume fraction of silicon thin films prepared by multi-hollow plasma discharge CVD

    2013年3月 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • RFマグネトロンスパッタリング法による高移動度ワイドギャップ半導体ZnInONの作製

    松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    2013年第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • 振幅変調放電プラズマ中のナノ粒子成長初期におけるナノ粒子量の時空間分布

    古閑一憲, 森田康彦, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 板垣奈穂, 白谷正治

    2013年第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • Siナノ粒子膜を用いたSi/PEDOT:PSS太陽電池の特性評価

    内田儀一郎, 王玉亭, 市田大樹, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    2013年第60回応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • Infrared Plasmonics via ZnO (Keynote lecture) 招待 国際会議

    D. C. Look, M. S. Allen, J. W. Allen, N. Itagaki, K. Matsushima, I. Surhariadi

    4th Mexican Workshop on Nanostructured Materials  2013年3月 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:メキシコ合衆国  

  • Model for Thickness dependence of mobility and concentration in highly conductive ZnO 国際会議

    D. C. Look, Kevin D. Leedy, Arnold M. Kiefer, Bruce B. Claflin, N. Itagaki, K. Matsushima, I. Suhariadi

    SPIE-Int. Soc. Opt. Photonics, Photonics West (2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Fluctuation in Plasma Processes (Invited) 招待 国際会議

    M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo

    6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Cycle characteristics of lithium ion batteries using Si/SiC core-shell nanoparticle anode 国際会議

    Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time evolution of nanoparticle size in reactive plasmas: comparison between theory and experiments 国際会議

    M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Substrate temperature dependence of silcion cluster volume fraction in silicon thin films measured with quartz crystal microbalances 国際会議

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Spatio-temporal structure of growth of nano-particles with without amplitude modulation in reactive plasmas 国際会議

    K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dependence of performance of p-i-n a-Si:H solar cells using stable a-Si:H films on distance between discahrges and substrate 国際会議

    K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • The improvement on the electron transfer of dye-sensitized solar cell using vanadium doped TiO2 国際会議

    H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Sanghoon Nam and Jin-Hyo Boo

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Properties and Performance of C-Si/Si QDs/organic Heterojunction Solar Cells 国際会議

    Y. Wang, D. Ichida, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Evaluation of etching effects due to H+ ions on dust transport using local bias potential 国際会議

    K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and The LHD Experimental Group

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Energy conversion efficincy of Si nanoparticle/PEDOT:PSS qunatum-dot solar cells 国際会議

    G. Uchida, Y. Wang, H. Seo, D. Ichida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Nitrogen on Crystal Growth of Sputter-Deposited ZnO Films for Transparent Conducting Oxide 国際会議

    I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of deposition temperature on properties of epitaxial ZnInON films for solar cells 国際会議

    K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, and N. Itagaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dependence of Voc of a-Si:H solar cells on distance between discharge and substrate 国際会議

    Y. Hashimoto, K. Hatozaki, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013)  2013年2月 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • The fabrication of paint-type Si quantum dot-sensitized solar cells and ZnS post-treatment (Invited) 招待 国際会議

    H. Seo, Y. wang, D. Ichida, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Tuning nanostructures of plasma CVD films (Plenary) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Fabrication of epitaxial ZnInON films for MQW solar cells by RF magnetron sputtering 国際会議

    K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Deposition rate dependence of silicon cluster volume fraction in silicon thin films deposited by multi-hollow plasma discharge CVD 国際会議

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Siナノ粒子/PEDOT:PSSを用いた量子ドット太陽電池の作製

    市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  • 反応性プラズマ中の微粒子成長速度の時空間相関

    白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  • マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜のバンドギャップ制御

    金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  • ダブルマルチホロープラズマCVDを用いたリチウムイン電池用SiCナノ粒子膜のコンビナトリアル生成

    森田康彦, 鎌滝晋礼, 内田儀一郎, 金淵元, 徐鉉雄, 山下大輔, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己

    第30回プラズマプロセシング研究会(SPP-30)  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  • Etching Effects of Hydrogen Ions on Dust Collection Using Local Bias Potential 国際会議

    K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    2013 International Symposium on Information Science and Electrical Engineering  2013年1月 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • SiH4マルチホロー放電プラズマの発光分光計測

    橋本優史, 波戸崎浩介, 金淵元, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 反応性プラズマ中でのナノ粒子成長の時空間分布

    森田康彦, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 反応性プラズマとナノ構造の相互作用の長距離相関モデル

    白谷正治, 鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 徐鉉雄

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 大型ヘリカル装置の主放電時に発生するダストの局所バイアス電圧を用いた除去

    西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 重水素ヘリコンプラズマとグラファイト壁の相互作用により発生したダストの捕集

    古閑一憲, 岩下伸也, 西山雄士, 立石瑞樹, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVD法を用いたSiナノ粒子膜の堆積と有機・無機ハイブリッド型太陽電池への応用

    市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVDで堆積したSiナノ粒子含有膜の光学特性

    金光善徳, 内田儀一郎, 市田大樹, 王玉亭, 波戸崎浩介, 徐鉉雄, 鎌滝普礼, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • スパッタリング法による高効率量子井戸型太陽電池のための狭バンドギャップ酸窒化物半導体の作製

    廣瀬忠史, 松島宏一, 桑原和成, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    プラズマ・核融合学会 九州・沖縄・山口支部第16回支部大会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Electrochemical impedance analysis on the additional layers for the enhancement on the performance of dye-sensitized solar cell 国際会議

    H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    10th International Conference on Nano-Molecular Electronics  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Application of Si nanoparticles to energy devices: quantum-dot solar cells and Li ion batteris (Invited) 招待 国際会議

    G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, T. Ishihara, and M. Shiratani

    The 69th IUVSTA Workshop  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:スロベニア共和国  

  • A simplified model of a relationship between plasma fluctuation and size distribution of nanoparticles formed in reactive plasmas

    M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • SiC/Si nanoparticle anode of lithium ion batteries fabricated using double multi-hollow plasma CVD

    Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara

    2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dust particle formation due to interaction between deuterium helicon plasmas and graphite wall

    M. Tateishi, S. Iwashita, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Dust Collection on DC Biased Substrates during Glow Discharges in the Large Helical Device

    K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagar2, and the LHD Experimental Group

    2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Si nanoparticle composite films for C-Si/Si QDs/organic Solar Cells

    K. Koga, Y. Wang, D. Ichida, H. Seo, G. Uchida, N. Itagaki, M. Shiratani

    2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Si ナノ粒子/PEDOT:PSS 量子ドット太陽電池のエネルギー変換効率

    市田大樹, 王玉亭, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成24年度応用物理学会九州支部学術講演会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • 低温スパッタリング法による高効率量子井戸型太陽電池のための新規酸窒化物半導体ZnInONの形成

    松島宏一, 桑原和成, 廣瀬忠史, 山下大輔, 鎌滝晋礼, 徐鉉雄, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    平成24年度応用物理学会九州支部学術講演会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • 窒素添加結晶化バッファー層を用いた低抵抗ZnO:Al薄膜の作製: バッファー層形成時における酸素供給量の効果

    押川晃一郎, I. Suhariadi, 桑原和成, 山下 大輔, 徐 鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂

    平成24年度応用物理学会九州支部学術講演会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • ヘリコンプラズマ装置における捕集基板上ダストへのエッチング効果の考察

    立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    平成24年度応用物理学会九州支部学術講演会  2012年12月 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • グラファイトと重水素プラズマの相互作用で発生したダスト捕集

    古閑一憲, 岩下伸也, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 第29回年会  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:春日クローバープラザ   国名:日本国  

  • 局所バイアス電圧印加基板で捕集したカーボンダスト量に対する水素プラズマエッチングの効果

    立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    プラズマ・核融合学会 第29回年会  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:春日クローバープラザ   国名:日本国  

  • 放電電力変調反応性プラズマにおけるナノ粒子成長の時空間構造の観測

    鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 白谷正治

    プラズマ・核融合学会 第29回年会  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:春日クローバープラザ   国名:日本国  

  • ダイバータシミュレータで発生したカーボンダストの生成と輸送の放電電力の効果

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten

    プラズマ・核融合学会 第29回年会  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:春日クローバープラザ   国名:日本国  

  • Performance enhancement of c-Si/organic heterojunction solar cells by using Si quantum dots 国際会議

    Y. Wang, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 34th International Symposium on Dry Process  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマプロセスにおける揺らぎのダイナミックス

    鎌滝晋礼, 森田康彦, 古閑一憲, 内田儀一郎, 板垣奈穂, H. Seo, 白谷正治

    九州山口プラズマ研究会、応物新領域研究会  2012年11月 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:由布院倶楽部   国名:日本国  

  • Long-range correlation of nanoparticle growth in in low pressure reactive VHF discharge plasmas 国際会議

    M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga

    54th Annual Meeting of the APS Division of Plasma Physics (DPP)  2012年10月 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Epitaxial Growth of ZnInON Films for Piezo-Electric-Field Effect MQW Solar Cells 国際会議

    K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    AVS 59th International Symposium & Exhibition  2012年11月 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Photocarrier generation in Si quantum-dot sensitized solar cells 国際会議

    G. Uchida, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    AVS 59th International Symposium & Exhibition  2012年10月 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Sputter deposition of atomically smooth ZnO films with buffer layers crystallized via nitrogen mediation 国際会議

    K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    AVS 59th International Symposium & Exhibition  2012年11月 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • SiH4/H2 and CH4 multi-hollow discharge plasma CVD of SiC nano-composite anode for high charge-discharge capacity lithium ion batteries 国際会議

    Y. Morita, K. Kamataki, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    AVS 59th International Symposium & Exhibition  2012年11月 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Impacts of plasma fluctuation on growth of nanoparticles in low pressure reactive VHF discharge plasmas 国際会議

    M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga

    65th Annual Gaseous Electronics Conference (GEC)  2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Control of Dust Flux in LHD and in a Divertor Simulator 国際会議

    K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    24th Fusion Energy Conference (IAEA)  2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Fabrication of ZnO Buffer Layers via Nitrogen-Mediated Crystallization for ZnO:Al Transparent Conducting Oxide: Effects of Oxygen Addition 国際会議

    I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Temporal Evolution Of Number Density Of Ar Metastable Atoms In Dusty Plasmas 国際会議

    G. Uchida, N. Sadegh, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Growth Control Of ZNO Nano-Rod With Various Seeds And Photovoltaic Application 国際会議

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Time evolution of diameter of laser trapped single dust particle in plasmas 国際会議

    D. Yamashita, K. Koga, S. Kitazaki, K. Kamataki, G. Uchida, N. Itagaki, M. Shiratani

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Temperature dependence of fill factor of a-Si:H Schottky cells 国際会議

    Y. Hashimoto, K. Hatozaki, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Sputter deposition of epitaxial ZnO films: effects of O2 partial pressure 国際会議

    K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • High capacity lithium ion batteries using SiC nanoparticles 国際会議

    Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of epitaxial ZnInON films for solar cell application by N2/Ar sputtering 国際会議

    K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Control of size distribution of nanoparticles produced in reactive plasmas 国際会議

    M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Measurements of amount of Si clusters incorporated during film deposition using quartz crystal microbalances 国際会議

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012年10月 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of B-doped a-Si:H films using a cluster eliminating filter 国際会議

    Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • In-situ Measurements of Size Distribution of Nanoparticles Formed in Reactive Plasmas Using a Laser Light Scattering Method 国際会議

    M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • A Theoretical Model of a Relationship between Plasma Fluctuation and Nanostructure Fluctuation 国際会議

    M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Selective deposition of nanoparticles to valleys of texture substrates 国際会議

    Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances 国際会議

    Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • High Capacity Li Ion Battery Anodes Using Silicon Carbide Nanoparticles Produced by Double Multi-Hollow Discharge Plasma CVD 国際会議

    K. Kamataki, M. Shiratani, T. Ishihara, H. Nagano, Y. Morita, K. Kuwahara, G. Uchida, H. Seo, N. Itagaki, K. Koga

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Si quantum-dot thin films for solar cell applications using multi-hollow discharge plasma CVD 国際会議

    G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • An infrared laser trap of a single dust particle for study of plasma-surface interactions 国際会議

    G. Uchida, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • ZnO films with buffer layers crystallized via nitrogen mediation: effects of thickness of buffer layers 国際会議

    K. Kuwahara, N. Itagaki, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Hydrogenated Amorphous Silicon Solar Cells Fabricated by a Multi-hollow Discharge Plasma CVD Method 国際会議

    K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of ZnO Films via Nitrogen-Mediated Crystallization as Buffer Layers for ZnO:Al Transparent Conducting Oxide 国際会議

    I. Suhariadi, K. Oshikawa, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fabrication of Zn-In-ON films with tunable optical bandgap for photovoltaic applications 国際会議

    K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Enhancement of light harvesting efficiency with multi-layered electrode of Si quantum dot-sensitized solar cells 国際会議

    H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of V-doped TiO2 on Performance Si QDSCs 国際会議

    Y. Wang, J. Boo, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Si量子ドット増感太陽電池の高性能化のための多硫化物電解液の最適化

    市田大樹, 王玉亭, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治

    第6回プラズマエレクトロニクスインキュベーションホール  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • 高効率量子井戸型太陽電池のための新規窒化物半導体薄膜の作製

    廣瀬忠史, 松島宏一、桑原和成、押川晃一郎、I. Suhariadi、山下大輔、徐鉉雄、鎌滝晋礼、内田儀一郎、板垣奈穂、 古閑一憲、 白谷正治

    第6回プラズマエレクトロニクスインキュベーションホール  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • プラズマ壁相互作用により発生するカーボンナノダストの生成に関する実験

    立石瑞樹, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑 一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, the LHD Experimental Group, S. Bornholdt, H. Kersten

    第6回プラズマエレクトロニクスインキュベーションホール  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • Optical Trapping of Single Fine Particle in Plasmas for study of interactions between a fine particle and plasmas 国際会議

    K. Koga, D. Yamashita, S. Kitazaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    NANOSMAT 2012  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Study on the fabrication of paint-type Si quantum dot-sensitized solar cells 国際会議

    H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    International Conference on Electronic materials and Nanotechnology for Green Environment (ENGE 2012)  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • 大容量リチウムイオン電池用プラズマCVD生成SiCナノ粒子負極の開発

    森田康彦, 鎌滝晋礼, 内田儀一郎, 山下大輔, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 永野裕己, 石原達己

    第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学・松山大学   国名:日本国  

  • 微粒子プラズマ中におけるAr準安定粒子密度の空間分布計測

    内田儀一郎, 鎌滝晋礼, 森田康彦, H. Seo, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治

    第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学・松山大学   国名:日本国  

  • Control of deposition profile and properties of plasma CVD carbon films 国際会議

    K. Koga, T. Urakawa, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    13th International Conference on Plasma Surface Engineering (PSE2012)  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Growth Enhancement of Plants by Combinatorial Plasma Irradiation 国際会議

    M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, N. Hayashi

    The 9th International Bioelectrics Symposium (BIOELECTRICS 2012)  2012年9月 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si 国際会議

    H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki and M. Shiratani

    2012年8月 

     詳細を見る

    開催年月日: 2012年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Nanostructure control of thin films depoisted by plasmas and its application to fabrication of green energy devices (Invited) 招待 国際会議

    M. Shiratani, N. Itagaki, K. Koga, Uchida G., K. Kamataki, H. Seo

    (APT2012) The 2nd Advanced Plasma Technology for Green Energy and Biomedical Applications  2012年8月 

     詳細を見る

    開催年月日: 2012年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  • Deposition of Si nano-particle films for Si quantum-dots sensitized solar cells 国際会議

    The 6th International Conference on Technological Advances of Thin Films and Surface Coating  2012年7月 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:シンガポール共和国  

  • Impacts of plasma fluctuation on nanoparticle growth in reactive plasmas 国際会議

    M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo

    IEEE ICOPS2012  2012年7月 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Investigations on the energy balance of the substrate during ZnO magnetron sputtering 国際会議

    S. Bornholdt, K. Kuwahara, N. Itagaki, H. Wulff, M. Shiratani, H. Kersten

    39th European Physical Society Conference on Plasma Physics, 16th International Congress on Plasma Physics  2012年7月 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スウェーデン王国  

  • ダイバータシミュレータ中のカーボンナノダストの生成と輸送に関する実験

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten

    第9回核融合エネルギー連合講演会  2012年6月 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神戸国際会議場   国名:日本国  

  • Application of SiC nanoparticle films to energy conversion devices: lithium ion batteries and quantum-dot solar cells (Invited) 招待 国際会議

    G. Uchida, K. Kamataki, H. Seo, Y. Morita, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012年6月 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • The fabrication of multi-layered Si quantum dot-sensitized solar cells for better light harvesting (Invited) 招待 国際会議

    H. Seo, Y. wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012年6月 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Observation of growth of nano-particles using a high speed camera 国際会議

    Y. Morita, K. Kamataki, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012年6月 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Fabrication of ZnInON films with high crystallinity for photovoltaic applications 国際会議

    K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012年6月 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Deposition of B-doped a-Si:H films with a cluster eliminating filter 国際会議

    Y. Hashimoto, K. Hatozaki, Y. Kim, G.u Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics  2012年6月 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Discharge Power Dependence of Carbon Dust Flux in a Diverter Simulator 国際会議

    Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki,, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten

    20th International Conference on Plasma Surface Interactions 2012 (PSI2012)  2012年5月 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Effects of Substrate DC Bias Voltage on Dust Collection Efficiency in Large Helical Device 国際会議

    K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    20th International Conference on Plasma Surface Interactions 2012 (PSI2012)  2012年5月 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition 国際会議

    M. Shiratani, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga

    2012 MRS Spring Meeting  2012年4月 

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • The analysis on the optical properties of size-controllable Si nano-particles by multi-hollow plasma discharge CVD 国際会議

    H. Seo, Y. Kim, M. Sato, Y. Wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2012 MRS Spring Meeting  2012年4月 

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Optical Emission Study for Chracterization of Nanoparticle-Suppressed Plasma CVD for Microcrystalline Film Deposition 国際会議

    Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2012 MRS Spring Meeting  2012年4月 

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Effects of incorporation of clusters generated in the plasma ignition phase on Schottky cell performance of amorphous silicon films 国際会議

    K. Koga, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, G. Uchida, N. Itagaki, M. Shiratani

    The Fourth International Workshop on Thin-Film Silicon Solar Cells (IWTFSSC-4)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:スイス連邦  

  • プラズマ異方性CVDカーボン膜の硬度のイオンエネルギー依存性

    浦川達也, 鳥越隆平, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 節原裕一, 関根誠, 堀勝

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • 窒化Si ナノ粒子膜を用いた量子ドット増感型太陽電池の効率波長依存性

    内田儀一郎, 佐藤宗治, 徐鉉雄, 王玉亭, 鎌滝普札, 板垣奈穂, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • Siナノ粒子量子ドット増感型太陽電池電極の最適化

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • 高安定a-Si:H 膜を用いたショットキーセル特性の光照射時間依存性

    波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • プラズマ揺らぎとプラズマ生成ナノ粒子のサイズ分布実験と理論

    白谷正治, 鎌滝晋礼, 西山雄士, 森田康彦, 山下大輔, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • ダストの生成に対する熱流束の影響とダストフラックスの制御

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, L H D実験グループ

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • クラスター除去フィルタを用いたP ドープn 型a-Si:H の製膜

    橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 徐絃雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    第59回応用物理学関係連合講演会  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  • Effects of Silicon Nano-particles on Properties of Microcrystalline Silicon Thin Films Frabricated using Multi-hollow Discharge CVD Plasmas

    2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Three growth modes of nanoparticles generated in reactive plasmas 国際会議

    K. Koga, K. Kamataki, S. Nunomura, S. Iwashita, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, U. Czarnetzki

    DPG Spring Meeting of the Section AMOP (SAMOP)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Energy balance at the substrate during magnetron sputter deposition of ZnO 国際会議

    S. Bornholdt, N. Itagaki, K. Kuwahara, H. Wulff, M. Shiratani, H. Kersten

    DPG Spring Meeting of the Section AMOP (SAMOP)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  • Plasma fluctuation and plasma nanotechnologies (Invited) 招待 国際会議

    M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Properties of microcrystalline silicon thin films with/without silicon nanoparticles deposited by multi-hollow plasma CVD 国際会議

    Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • A-Si:H Schottky Cells with Quite Low Light Induced Degradation Fabricated by Multi-hollow Discharge Plasma CVD 国際会議

    M. Shiratani, K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Mesurements of energy influx towards a graphite target in helicon H2 discharge plasmas using a calorimetric probe 国際会議

    K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. MasuzakiI, K. Nishimura, A. sagara, The LHD Expreimental Group, S. Bornholdt, H. Kersten

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Control of dust-particle transportation in multi-frequency capacitively coupled radio frequency discharge 国際会議

    G. Uchida, Y. Wang, M. Sato, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • The improvement on the adhesion of Si nano-particles for Si quantum dot-sensitized solar cells 国際会議

    H. Seo, M. Sato, Y. Wang, Y. Kim, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Optical Emission Chracteristics of Nanoparticle-supressed CVD Plasmas for Microcrystalline Silicon Film Deposition 国際会議

    Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Interaction between amplitude modulated plasmas and nanoparitcles growth in the plasmas 国際会議

    K. Kamataki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Etching rate of carbon films deposited by H-assisted plasma CVD 国際会議

    T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization 国際会議

    I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, K. Nakahara, D. Yamashita, H. Seo, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012)  2012年3月 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Impacts of plasma fluctuation on nanostructure formation using plasmas (Invited) 招待 国際会議

    M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga

    The Third International Symposium on Plasma Nanoscience (iPlasmaNano-III)  2012年2月 

     詳細を見る

    開催年月日: 2012年2月 - 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:マレーシア  

  • プラズマとナノ界面の相互作用の制御

    白谷正治, 古閑一憲, 内田儀一郎, 鎌滝晋礼, 板垣奈穂

    東北大学電気通信研究所共同プロジェクト研究会, 仙台"プラズマフォーラム"  2012年2月 

     詳細を見る

    開催年月日: 2012年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:秋保温泉岩沼屋   国名:日本国  

  • Control of deposition profile of carbon films on fine trench using low temperature H-assisted plasma CVD method 国際会議

    T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of nano-particles on multi-hollow discharge CVD plasmas for microcrystalline silicon thin film deposition 国際会議

    Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of P-doped a-Si:H films with a cluster eliminating filter 国際会議

    Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • The improvement on the combination between TiO2 and Si nano-particles for higher performance of Si quantum dot-sensitized solar cells (Invited) 招待 国際会議

    H. Seo, M. Sato, Y. wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Recent progress in frontier science of interactions between plasmas and nano-interfaces (Invited) 招待 国際会議

    M. Shiratani, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Optimization of Redox Electrolyte for Higher Performance of Si Quantum Dot-sensitized Solar cells 国際会議

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • High stabilization of a-Si:H films by discharge plasma control 国際会議

    K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Fabrication of ZnO-Based Transparent Conducting Films on Buffer Layers Crystallized via Nitrogen Mediation 国際会議

    K. Oshikawa, I. Suhariadi, K. Kuwahara, D. Yamashita, Seo H., G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Fabrication of Novel Oxynitride Semiconductors by Magnetron Sputtering for Photovoltaic application 国際会議

    K. Matsushima, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Effects on nano-patricls on crystalline orientation of microcrystalline silicon films for solar cells 国際会議

    T. Matsunaga, Y. Kim, K. Koga, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Effects of Nano-particles on Properties of Microcrystalline Silicon Thin Films Fabricated using Multi-hollow Discharge CVD Plasmas 国際会議

    Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Effects of deposition temperature on the properties of ZnO films fabricated via nitrogen mediation 国際会議

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Effect of N2/Ar Flow Rate Gas Ratio on the AZO Thin Films with Buffer Layers Deposited via Nitrogen Mediated Crystallization 国際会議

    I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Dust Removal by Applying Bias Voltage to Reactor Wall in Large Helical Device 国際会議

    K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Discharge power dependence of dust flux in helicon discharge reactor 国際会議

    Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Deposition profile control of carbon films on trench structure using plasma anisotropic CVD method 国際会議

    T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Deposition of p-type a-Si:H using SiH4+ B10H14 multi-hollow discharge plasma CVD as a window layer for pin cell 国際会議

    K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, M. Sato, H. Matsuzaki, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics  2012年1月 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Performance of quantum dot sensitized solar cells with nitridated Si nanoparticle films 国際会議

    G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Stable a-Si:H Schottky Cells Fabricated by Multi-hollow Discharge Plasma CVD 国際会議

    M. Shiratani, K. Nakahara, K. Hatozaki, H. Seo, G. Uchida, N. Itagaki, K. Kamataki, K. Koga

    2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Performance Enhancement of Si Quantum Dot-sensitized Solar Cells by Surface Modification Using ZnO barrier layer and 400nm TiO2 Particles 国際会議

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio 国際会議

    I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani

    2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 反応性プラズマにおけるナノ粒子生成に対する放電電力摂動の効果

    鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    プラズマ・核融合学会 第15回九州・沖縄・山口支部大会  2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学工学部   国名:日本国  

  • 水素プラズマ-カーボン壁相互作用によるダスト生成に対する壁への熱流速の影響

    森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 徐鉉雄, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. BORNHOLDT, H. KERSTEN

    プラズマ・核融合学会 第15回九州・沖縄・山口支部大会  2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学工学部   国名:日本国  

  • クラスタ取込抑制マルチホロー放電プラズマCVD法で作製した光安定水素化アモルファスシリコン薄膜のショットキーセル特性

    波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 第15回九州・沖縄・山口支部大会  2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大分大学工学部   国名:日本国  

  • 水素プラズマのグラファイト壁への熱フラックスとダスト生成

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ, S. Bornholdt, H. Kersten

    PWI合同研究会  2011年12月 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:核融合科学研究所, 岐阜   国名:日本国  

  • Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films 国際会議

    T. Matsunaga, Kim Y., K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011年12月 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Properties and performance of si quantum dot-sensitized solar cells with low temperature titania paste 国際会議

    Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, H. Seo, G. Uchida, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011年11月 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD 国際会議

    K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011年11月 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • A study of optical emission spectroscopy on microcrystalline silicon film using multi-hollow discharge plasma CVD 国際会議

    Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011年11月 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers 国際会議

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21)  2011年12月 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Control of size distribution of nanoparticles in reactive plasmas by using AM rf discharges

    M. Shiratani, G. Uchida, N. Itagaki, K. Kamataki, K. Koga

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • 窒素添加結晶化法により作製したエピタキシャル酸化亜鉛薄膜の特性の成膜温度依存性

    松島宏一, 板垣奈穂, 桑原和成, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    平成23年度応用物理学会九州支部学術講演会  2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:鹿児島大学   国名:日本国  

  • クラスター除去フィルタを用いたP ドープa-Si;H の製膜ドープ量依存性

    橋本優史, 中原賢太, 松永剛明, 波戸崎浩介, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    平成23年度応用物理学会九州支部学術講演会  2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:鹿児島大学   国名:日本国  

  • LHDおよびLHDを模擬したヘリコン装置における水素ガスとグラファイトの相互作用により生じたダストの基板へのフラックスの基板バイアス電圧依存性

    森田康彦, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    平成23年度応用物理学会九州支部学術講演会  2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:鹿児島大学   国名:日本国  

  • Effects of substrate bias voltage on dust collection efficiency

    K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  • Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD 国際会議

    K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani

    Plasma Conference 2011 (PLASMA2011)  2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD

  • 低温プラズマ異方性CVDを用いた微細トレンチ上面への自己組織カーボンマスク形成 国際会議

    古閑一憲, 浦川達也, 内田儀一郎, 徐鉉雄, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • Si量子ドット増感型太陽電池の電解液最適化 国際会議

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • 微結晶シリコン薄膜作製用マルチホロー放電プラズマに対するナノ粒子の影響 国際会議

    松永剛明, 金淵元, 古閑一憲, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • 高密度水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるカーボンダスト粒子の局所バイアス電圧印加による捕集 国際会議

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 徐鉉雄, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD 実験グループ, S. Bornholdt, H. Kersten

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • 高速ガス流マルチホロープラズマCVD法を用いた高光安定クラスタフリーa-Si:H膜の作製 国際会議

    波戸﨑浩介, 中原賢太, 橋本優史, 松永剛明, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • マルチホロー放電プラズマで作製した微結晶シリコン膜へのラジカルフラックス評価 国際会議

    金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • プラズマCVDで成膜したSi薄膜へのナノ粒子含有の効果 国際会議

    白谷正治, 金淵元, 松永剛明, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • スパッタリングプラズマへの窒素ガス添加のエピキシャルZnO薄膜物性に対する効果 国際会議

    桑原和成, 中原賢太, 山下大輔, 徐鉉雄, 内田儀一郎, 鎌滝晋礼, 古閑一憲, 白谷正治, 板垣奈穂

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • クラスター除去フィルタを用いたクラスターフリー水素化アモルファスシリコンの製膜 国際会議

    中原賢太, 波戸﨑浩介, 橋本優史, 松永剛明, 佐藤宗治, 山下大輔, 松崎秀文, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  • Deposition profile control of carbon films on trenched substrate by simultaneous plasma CVD and plasma etching 国際会議

    M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori

    64th Gaseous Electronics Conference  2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Performance enhancement of Si quantum dot-sensitized solar cells by surface modification using ZnO barrier layer 国際会議

    Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 33rd International Symposium on Dry Process (DPS 2011)  2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Combinatorial Si Film Deposition by Multihollow Discharge Plasma CVD 国際会議

    M. Shiratani, Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga

    2011年11月 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Quantum dot sensitized solar cells using nanoparticles of Si compounds fabricated by multihollow discharge plasma CVD 国際会議

    M. Shiratani, G. Uchida, M. Sato, Y. Wang, K. Koga, N. Itagaki

    AVS 58th International Symposium & Exhibition  2011年11月 

     詳細を見る

    開催年月日: 2011年10月 - 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  • ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第3回薄膜太陽電池セミナー  2011年10月 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ラフレさいたま   国名:日本国  

  • Impacts of Plasma Fluctuations in Reactive Plasmas (Invited) 招待 国際会議

    K. Kamataki, K. Koga, G. Uchida, N. Itagaki, M. Shiratani

    BIT's 1st Annual World Congress of Nano-S&T  2011年10月 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells 国際会議

    G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    BIT's 1st Annual World Congress of Nano-S&T  2011年10月 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells 国際会議

    G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    BIT's 1st Annual World Congress of Nano-S&T  2011年10月 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • プラズマCVD中におけるナノ粒子成長に対するプラズマ揺らぎの効果

    鎌滝晋礼, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念特別シンポジウム  2011年10月 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  • Deposition of Si Nano-particle Films for Quantum Dot Sensitized Solar Cells 国際会議

    G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    Low Carbon Earth Summit (LCES-2011)  2011年10月 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • 高周波放電のAM変調によるナノ粒子サイズ分布の制御

    白谷正治, 鎌滝晋礼, 西山雄士, 古閑一憲, 内田儀一郎, 板垣奈穂

    平成23年度(第64回)電気関係学会九州支部連合大会  2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:佐賀大学   国名:日本国  

  • Deposition of quantum dot thin films using Si nanoparticles with surface nitridation (Invited) 招待 国際会議

    M. Shiratani, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga

    The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)  2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Effects of Si nano-particle incorporation on properties of microcrystalline silicon thin films studied by multi-hollow discharge CVD 国際会議

    Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)  2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • ZnOバリア層を用いたSiナノ粒子量子ドット増感型太陽電池

    王玉亭, 佐藤宗治, 徐鉉雄, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    第5回プラズマエレクトロニクスインキュベーションホール  2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • 水素プラズマとカーボン壁間のプラズマ・壁相互作用により形成されるナノダストの基板へのフラックスの基板バイアスによる制御

    西山雄士, 森田康彦, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第5回プラズマエレクトロニクスインキュベーションホール  2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • エピタキシャル酸化亜鉛薄膜作製における窒素添加効果

    桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 内田儀一郎, 鎌滝晋礼, 徐鉉雄, 古閑一憲, 白谷正治

    第5回プラズマエレクトロニクスインキュベーションホール  2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • Frontier science of interactions between plasmas and nano‐interfaces (Plenary) 招待 国際会議

    2011年9月 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hotel Salinera Strunjan   国名:スロベニア共和国  

  • マルチホロー放電プラズマCVDを用いて作製したクラスターフリーa-Si:Hの膜質の基板温度依存性

    中原賢太, 波戸﨑浩介, 松永剛明, 佐藤宗治, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治

    第72回応用物理学会学術講演会  2011年9月 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  • 水素プラズマとグラファイトの相互作用により発生したカーボンナノ粒子の基板バイアス電圧印加による配置制御

    西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    第72回応用物理学会学術講演会  2011年8月 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  • Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasmas 国際会議

    K. Kamataki , H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    2011年8月 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アイルランド  

  • Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD 国際会議

    Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G.u Uchida, K. Koga, M. Shiratani

    2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Highly stable schottky cells using cluster-free a-Si:H deposited by multi-hollow discharge plasma CVD method 国際会議

    K. Hatozaki, K. Nakahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani

    The 13th International Workshop on Advanced Plasma Processing and Diagnostics  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Innovative Si solar cells: new approaches and demonstration of devices (Invited) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki

    The 13th International Workshop on Advanced Plasma Processing and Diagnostics  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:大韓民国  

  • Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage 国際会議

    K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fluctuation Control towards Ultimate Plasma Nanotechnologies (Keynote) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki

    2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of nanoparticle incorporation on crystalline orientation of microcrystalline silicon films prepared by multi-hollow plasma discharge CVD 国際会議

    Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Si Nano-particle Films and its Application to Quantum dot Sensitized Solar Cells 国際会議

    G. Uchida, M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:ドイツ連邦共和国  

  • Surface modification with TiO2 films for Si quantum dot-sensitized solar cells 国際会議

    Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:ドイツ連邦共和国  

  • Nanoparticle formation due to interactions between H2 plasmas and graphite 国際会議

    K. Nishiyama, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:ドイツ連邦共和国  

  • Effects of solid-phase crystallization temperature on properties of epitaxial ZnO films 国際会議

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    2011 International Workshop on Advanced Electrical Engineering and Related Topics  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:ドイツ連邦共和国  

  • Effects of surface treatment on performance of Si nano-particle quantum dot solar cells 国際会議

    K. Koga, G. Uchida, M. Sato, Y. Wang, K. Nakahara, K. Kamataki, N. Itagaki, M. Shiratani

    The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011)  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Process Window Evaluation of Microcrystalline Silicon Films with and without Incorporating Clusters using Multi-hollow Discharge Plasma CVD 国際会議

    T. Matsunaga, Yeon Won Kim, K. Koga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011)  2011年7月 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Performance enhancement of Si quantum dot-sensitized solar cells by surface coating

    Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    2011年6月 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • マルチホロー放電プラズマCVD による微結晶シリコン製膜プロセスウィンドウのガス圧力依存性

    松永剛明, 金淵元, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    九州表面・真空研究会2011(兼第16回九州薄膜表面研究会)  2011年6月 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • 高光安定a-Si太陽電池の開発

    白谷正治, 古閑一憲, 板垣奈穂, 内田儀一郎

    第3回薄膜コンソ技術委員会  2011年5月 

     詳細を見る

    開催年月日: 2011年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:産総研関西センター, 大阪   国名:日本国  

  • High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers 国際会議

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, and M. Shiratani

    European Materials Research Society 2011 Spring Meeting  2011年5月 

     詳細を見る

    開催年月日: 2011年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Transport control of carbon nanoparticles in plasmas by biasing wall potential for plasma nano-factories 国際会議

    K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group

    European Materials Research Society 2011 Spring Meeting  2011年5月 

     詳細を見る

    開催年月日: 2011年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • 振幅変調パルス放電を用いてトレンチ基板上に堆積させたナノ粒子の付着形状

    宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • 水素プラズマとグラファイトの相互作用により発生したナノダストの基板へのフラックスの基板バイアス電圧依存性

    西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • DM-DMOS微粒子プラズマ中におけるAr準安定粒子密度の時間変動

    内田儀一郎, 宮田大嗣, 鎌滝晋礼, 板垣奈穂, 古閑一憲, Nader Sadeghi, 白谷正治

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • Deposition of carbon films on top surface of fine trenches at 100℃ using a plasma anisotropic CVD method

    古閑一憲, 野村卓也, 浦川達也, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治, 節原裕一, 関根誠, 堀勝

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • マルチホロー放電プラズマCVD 法を用いたラジカルフラックス評価

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • ダブルマルチホロー放電による表面窒化Siナノ粒子含有薄膜のコンビナトリアル成膜

    山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • SiH4+B10H14マルチホロー放電プラズマCVDによるp型a-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤 宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • Combinatorial study on deposition profiles of silicon thin films deposited using high gas pressure multi-hollow discharge plasma CVD

    2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  • 量子ドット増感太陽電池の電流密度-電圧特性の膜堆積による影響

    佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄

    第58回応用物理学関係連合講演会  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  • Combinatorial deposition of surface nitridated Si nano-particle composite films by double multi-hollow discharges 国際会議

    M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. shiratani

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of P-doped a-Si:H films of a low defect density using SiH4+PH3 multi-hollow discharge plasma CVD 国際会議

    K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of substrate temperature on properties of μc-Si:H films deposited using multi-hollow discharge plasma CVD 国際会議

    2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Takayama Public Cultural Hall, Gifu   国名:日本国  

  • Ultra Low Resistive NM Thick AZO Films Deposited by Magnetron Sputtering Using Solid Phase Crystallization 国際会議

    M. Shiratani, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Process window of microcrystalline silicon films deposited using multi-hollow discharge plasma CVD 国際会議

    T. Matsunaga, Y. Kim, Y. Kawashima, K. Koga, D. Yamashita, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of ?c-Si films using plasma CVD under high gas pressure conditions 国際会議

    T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Optical and electrical properties of nitridated Si nano-particle composite thin films deposited by double multi-hollow discharges CVD 国際会議

    G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Generation of nitridated silicon nano-particles by double multi-hollow discharge CVD 国際会議

    M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effect of substrate temperature on microcrystalline silicon thin films deposited using plasma enhanced CVD 国際会議

    Y. Kim, T. Matsunaga, Y. Kawashima, M. Sato, K. Nakahara, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD for pin solar cell 国際会議

    K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20)  2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Si nano-particle quantum dot thin film by multi-hollow discharge and their application to the third generation hotovoltaics 国際会議

    G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Kamataki, N. Itagaki, K. Koga, M. shiratani

    2011年3月 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スロベニア共和国  

  • Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics (Invited) 招待 国際会議

    K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani

    2011年2月 

     詳細を見る

    開催年月日: 2011年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:インド  

  • LHD第一壁へのダストフラックスに対する壁電位の効果

    白谷正治, 宮田大嗣, 西山雄士, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 芦川直子, 増崎貴, 西村清彦, 相良明男, LHD実験グループ

    核融合科学研究所一般共同研究成果報告会(NIFS)  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山陽館, 大分   国名:日本国  

  • Combinatorial study on deposition profiles of silicon thin films deposited using multi-hollow discharge plasma CVD 国際会議

    Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Surface reactions of P-doped a-Si:H films deposition using SiH4+PH3 国際会議

    D. Yamashita, K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Radical flux evaluation of high pressure silane plasma CVD using multi-hollow discharges (Invited) 招待 国際会議

    K. Koga, T. Matsunaga, Y. Kawashima, Y. Kim, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Property evaluation of silicon films deposited using high gas pressure multi-hollow discharge plasma CVD 国際会議

    T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Photoluminescence measurement of nitridated Si particles generated by double multi-hollow discharge PECVD 国際会議

    M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Optical bandgap energy of B-doped a-Si:H films depositedby SiH4+B10H14multi-hollow discharge plasma CVD 国際会議

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Low resistivity AZO films fabricated on solid phase crystallized ZnO buffer layer: solid phase crystallization temperature dependence 国際会議

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Generation of nitridated Si particle composite films by double multi-hollow plasma CVD method 国際会議

    K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Flux measurements of carbon dust particles towards biased substrates in H2 helicon discharge plasmas 国際会議

    K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G.u Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Electrical characteristics of Si nanoparticles/ruthenium dye hybrid sensitized solar cells 国際会議

    Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of SiOx-CH3 nano-particles on trench substrates using pulse RF discharges 国際会議

    H. Miyata, S. Iwashita, K. Nishiyama, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics  2011年1月 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition rate of SiH4+PH3 multi-hollow plasma CVD a-Si:H films

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Microcrystalline silicon films deposited by using multi-hollow discharge plasma CVD under high pressure depletion conditions

    T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani

    2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Investigation of Interaction between of Growth of Nano Particles and Plasma Fluctuations in Plasma CVD

    K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Generation of nitridated silicon particles and the application to solar cell

    G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • マルチホロー放電プラズマCVD を用いた第三世代太陽電池用結晶シリコンナノ粒子のサイズ制御

    川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 鎌滝晋礼, 近藤道雄, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 水素プラズマとグラファイトとの相互作用により発生したダストのフラックスの壁電位依存性

    西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 振幅変調パルス放電を用いた微細パターン基板へのナノ粒子の堆積

    宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVDを用いたμc-Si:Hのコンビナトリアル製膜によるSiH3,Hフラックス解析

    松永剛明, 川嶋勇毅, 金淵元, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 板垣奈穂, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • プラズマCVD中のナノ粒子成長に対するプラズマ揺動の影響

    鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • ダブルマルチホロー放電プラズマを用いた表面窒化Siナノ粒子含有薄膜の作製

    山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • ダブルマルチホロー放電によるN2/SiH4プラズマの独立制御

    佐藤宗治, 山本康介, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • スパッタリング法を用いた新規固相結晶化法によるエピタキシャル酸化亜鉛薄膜の作製

    桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Substrate temperature dependence of microcrystalline silicon deposition by multi-hollow discharge plasma CVD

    2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  • SiH4+B10H14マルチホロー放電プラズマCVDを用いたBドーピングa-Si:Hの堆積

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    プラズマ・核融合学会 九州・沖縄・山口支部 第14回 支部大会  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • A novel control method of nano-strucutre of oxide films using sputtering deposition (Keynote Speech) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki

    The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II)  2010年12月 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストラリア連邦  

  • 微細パターン基板へのSiOx-CH3ナノ粒子堆積

    古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治

    第27回プラズマ・核融合学会年会  2010年12月 

     詳細を見る

    開催年月日: 2010年11月 - 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:札幌国際プラザ   国名:日本国  

  • プラズマ-カーボン壁相互作用で発生したカーボン微粒子の基板へのフラックス評価

    古閑一憲, 宮田大嗣, 西山雄士, 岩下伸也, 山下大輔, 松崎秀文, 内田儀一郎, 板垣奈穂, 鎌滝晋礼, 白谷正治, 芦川直子, 増﨑貴, 西村清彦, 相良明男, LHD実験グループ

    第27回プラズマ・核融合学会年会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月 - 2010年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:札幌国際プラザ   国名:日本国  

  • シリコンナノ粒子とRu色素を用いた増感太陽電池の電流密度-電圧特性

    川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, 近藤道雄

    平成22年度応用物理学会九州支部学術講演会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 微細トレンチ基板へのナノ粒子の堆積

    西山雄士, 宮田大嗣, 山下大輔, 鎌滝晋礼, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 窒素原子を介した酸化亜鉛薄膜の固相結晶化におけるアニール温度依存性

    桑原和成, 板垣奈穂, 中原賢太, 山下大輔, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • 高ガス圧力条件における微結晶シリコン薄膜の作製

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVD法で堆積したPドープa-Si:H膜の導電率

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 山下大輔, 松崎秀文, 板垣奈穂, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • ダブルマルチホロー放電を用いた表面窒化シリコン粒子の生成

    山本康介, 佐藤宗治, 川嶋勇毅, 中原賢太, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 内田儀一郎, 古閑一憲, 白谷正治

    平成22年度応用物理学会九州支部学術講演会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • Generation of nitridated silicon nanoparticles and the application to solar cell (Invited) 招待 国際会議

    G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 1st Korean-Japan Symposium on Surface Technology  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Cluster-Free B-Doped a-Si:H Films Deposited Using SiH4 + B10H14 Multi-Hollow Discharges 国際会議

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Fluctuation Control for Plasma Nanotechnologies (Keynote Speech) 招待 国際会議

    M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki

    International technical conference of IEEE Region 10  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge 国際会議

    G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, Dsaisuke Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    International technical conference of IEEE Region 10  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasmas 国際会議

    K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    International technical conference of IEEE Region 10  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition profiles of microcrystalline silicon films using multi-hollow discharge plasma CVD 国際会議

    T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, W. M. Nakamura, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani

    International technical conference of IEEE Region 10  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Cluster-free p-type a Si:H films deposited using SiH4 + B10H14 multi-hollow discharges 国際会議

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Student Workshop on Electrical Engineering  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Novel solar cells using Si nanoparticles 国際会議

    Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    The 4th International Student Workshop on Electrical Engineering  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Evaluation of microcrystalline silicon filmas deposited by using multi-hollow discharge plasma CVD 国際会議

    T. Matsunaga, Y. Kawashima, K. Koga, M. Sato, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    The 4th International Student Workshop on Electrical Engineering  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Generation of nitridated silicon particle in SiH4/H2 and N2 multi-hollow discharges 国際会議

    G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • In-situ collection of dust particles produced due to interaction between helicon discharge plasmasand graphite on substrates with bias voltage 国際会議

    M. Shiratani, H. Miyata, K. Nishiyama, S. Iwashita, D.Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara

    2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Manipulation of Nano-objects Uusing Plasmas for a Plasma Nano-factory (Invited) 招待 国際会議

    M. Shiratani, K. Koga, G.u Uchida, N. Itagaki, K. Kamataki

    The 11th Asia Pacific Physics Conference (APPC11)  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  • Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles 国際会議

    H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    2010 International Symposium on Dry Process Program (DPS)  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges 国際会議

    G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of Nanoparticles using Substrate Bias Voltage 国際会議

    K. Koga, H. Miyata, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD Experimental Group

    2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • プラズマ-細胞相互作用による細胞活性制御

    古閑一憲, 北﨑訓, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第26回九州・山口プラズマ研究会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山陽館, 大分   国名:日本国  

  • 窒化シリコン微粒子の生成と太陽電池への応用

    内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第26回九州・山口プラズマ研究会  2010年11月 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山陽館, 大分   国名:日本国  

  • Combinatorial plasma CVD of Si thin films with a multihollow discharge plasma CVD reactor 国際会議

    M. Shiratani, K. Koga, T. Matsunaga, Y. Kawashima, W. M. Nakamura, G. Uchida, N. Itagaki

    AVS 57th International Symposium & Exhibition  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  • Carrier generation in Si quantum dots-sensitized solar cell 国際会議

    Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • ZnO transparent conductive films prepared by solid-phase crystallization from amorphous phase 国際会議

    K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Koga, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Production of nitridated silicon particles for quantum dot solar cell 国際会議

    K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H.Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Optical and electrical properties of microcrystalline silicon thin films deposited by mutli-hollow discharge plasma CVD 国際会議

    T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, M. Sato, D. Yamashita, G. Uchida, N. Itagaki, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • High speed deposition of highly stable a-Si:H films using pure silane multi-hollow discharges 国際会議

    K. Koga, K. Nakahara, T. Matsunaga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Effects of H2 plasma irradiation to TiO2 on quantum dot/dye-sensitized solar cells 国際会議

    H. Miyata, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Deposition of cluster-free B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD 国際会議

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani

    Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Si quantum dot-sensitized solar cells using Si nanoparticles produced by multi-hollow discharge 国際会議

    K. Koga, G. Uchida, Y. Kawashima, M. Sato, K. Yamamoto, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, M. Shiratani

    3rd International Symposium on Innovative Solar Cells  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  • Carbon dust particles generated due to H2 plasma-carbon wall interaction 国際会議

    H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges 国際会議

    G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method 国際会議

    K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD 国際会議

    T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP)  2010年10月 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  • SiH4+ B10H14マルチホロー放電プラズマCVD法によるBドープa-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第4回プラズマエレクトロニクスインキュベーションホール  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • 高ガス圧力条件における微結晶シリコン薄膜の結晶化率分布

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣菜穂, 白谷正治

    第4回プラズマエレクトロニクスインキュベーションホール  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • ダブルマルチホロー放電プラズマを用いた表面窒化シリコン粒子の生成

    山本康介, 川嶋勇毅, 佐藤宗治, 中原賢太, 松永剛明, 山下大輔, 松崎秀文, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第4回プラズマエレクトロニクスインキュベーションホール  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:国立中央青少年交流の家, 静岡   国名:日本国  

  • ダブルマルチホロー放電によるシリコン粒子の表面窒化

    内田儀一郎, 佐藤宗治, 川嶋勇毅, 中原賢太, 山本康介, 山下大輔, 松崎秀文, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会学術講演会  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • ナノ粒子増感太陽電池の光電流の照射光強度依存性

    川嶋勇毅, 佐藤宗治, 山本康介, 中原賢太, 松永剛明, 松崎秀文, 内田儀一郎, 近藤道雄, 山下大輔, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    第71回応用物理学会学術講演会  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • 反応性プラズマ中のナノ粒子成長に対する電力摂動の効果

    鎌滝晋礼, 宮田大嗣, 古閑一憲, 内田儀一郎, 板垣奈穂, 山下大輔, 松崎秀文, 白谷正治

    第71回応用物理学会学術講演会  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • 水素プラズマとグラファイトの相互作用で発生したダストの壁へのフラックスに対する壁電位の影響

    宮田大嗣, 西山雄士, 岩下伸也, 松崎秀文, 山下大輔, 鎌滝晋礼, 内田儀一朗, 板垣奈穂, 古閑一憲, 白谷正治, 芦川直子, 増崎貴, 西村清彦, 相良明男

    第71回応用物理学会学術講演会  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • 高ガス圧力微結晶シリコン製膜条件における結晶化率2次元分布

    松永剛明, 川嶋勇毅, 古閑一憲, 中原賢太, 佐藤宗治, 山下大輔, 内田儀一郎, 鎌滝晋礼, 板垣奈穂, 白谷正治

    第71回応用物理学会学術講演会  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • SiH4+ B10H14マルチホロー放電プラズマCVD法を用いたBドープa-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 山本康介, 中村ウィリアム誠, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治, 山下大輔, 松崎秀文

    第71回応用物理学会学術講演会  2010年9月 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  • シリコンナノ微粒子の生成と太陽電池への応用

    内田儀一郎, 川嶋勇毅, 山本康介, 佐藤宗治, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治

    平成22年度東北大学電気通信研究所共同プロジェクト研究会「微粒子プラズマの応用に関する基礎的研究」  2010年8月 

     詳細を見る

    開催年月日: 2010年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ホテルクレセント, 仙台   国名:日本国  

  • Generation of Si particles and their nitridation using double multihollow discharges 国際会議

    M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics  2010年7月 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • Comparison between Si thin films with and without incorporating nanoparticles into the film 国際会議

    K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani

    10th Asia Pacific Conference on Plasma Science and Technology (APCPST)  2010年7月 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  • SiH4+PH3マルチホロー放電プラズマCVDによるn型a-Si:Hの製膜

    中原賢太, 川嶋勇毅, 松永剛明, 佐藤宗治, 内田儀一郎, 板垣奈穂, 古閑一憲, 白谷正治

    九州表面・真空研究会2010(兼第15回九州薄膜表面研究会)  2010年6月 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • マルチホロー放電プラズマCVD法を用いた 微結晶シリコン薄膜の作製と膜質評価

    松永剛明, 川嶋勇毅, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    九州表面・真空研究会2010(兼第15回九州薄膜表面研究会)  2010年6月 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

  • ナノ粒子含有シリコン薄膜の光学特性

    佐藤宗治, 川嶋勇毅, 山本康介, 中原賢太, 板垣奈穂, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治

    九州表面・真空研究会2010(兼第15回九州薄膜表面研究会)  2010年6月 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:九州大学   国名:日本国  

▼全件表示

MISC

  • スパッタリング成膜法による高品質酸化亜鉛薄膜の形成

    板垣 奈穂, 古閑 一憲, 白谷 正治

    応用物理   2014年5月

     詳細を見る

    記述言語:日本語  

    スパッタリング法を用いた酸化亜鉛(ZnO)薄膜の結晶成長において,初期核形成を制御する新しい方法「不純物添加結晶化(Impurity Mediated Crystallization: IMC)法」を開発した.本手法により,高格子不整合基板上への原子レベルで平坦なZnO単結晶膜の作製や,ガラス基板上への極薄低抵抗ZnO導電膜の形成が可能となった.本稿では,IMC法について紹介するとともに,これら成果の概要を述べる.

産業財産権

特許権   出願件数: 58件   登録件数: 45件
実用新案権   出願件数: 0件   登録件数: 0件
意匠権   出願件数: 0件   登録件数: 0件
商標権   出願件数: 0件   登録件数: 0件

所属学協会

  • 応用物理学会

  • 応用物理学会 薄膜・表面物理分科会

  • 応用物理学会 プラズマエレクトロニクス分科会

  • Europian Materials Research Society

  • Materials Research Society

  • 応用物理学会 薄膜・表面物理分科会

      詳細を見る

  • 応用物理学会 プラズマエレクトロニクス分科会

      詳細を見る

  • 応用物理学会

      詳細を見る

  • プラズマ核融合学会

      詳細を見る

  • アメリカ真空学会

      詳細を見る

  • Materials Research Society

      詳細を見る

  • Europian Materials Research Society

      詳細を見る

▼全件表示

委員歴

  • 九州大学   部局化学物質管理者  

    2024年1月 - 2028年3月   

      詳細を見る

    団体区分:その他

    researchmap

  • 応用物理学会   機関誌『応用物理』企画・編集委員  

    2022年4月 - 現在   

      詳細を見る

    団体区分:学協会

    researchmap

  • 九州大学工学部   電気情報工学科・国際コースクラス指導教員  

    2022年4月 - 現在   

      詳細を見る

    団体区分:その他

    researchmap

  • 応用物理学会   機関誌企画・編集委員   国内

    2022年4月 - 2024年3月   

  • 九州大学大学院システム情報科学研究院付属量子コンピューティングシステム研究センター   副センター長  

    2022年3月 - 現在   

      詳細を見る

  • 日本表面真空学会SP部会   幹事   国内

    2021年4月 - 2021年8月   

  • 日本学術会議   総合工学委員会 未来社会と応用物理分科会・幹事  

    2020年10月 - 現在   

      詳細を見る

  • 日本学術会議   連携会員  

    2020年10月 - 現在   

      詳細を見る

  • 日本学術会議   幹事   国内

    2020年10月 - 2025年3月   

  • 日本学術会議   連携会員,分科会幹事(これからの未来社会と応用物理分科会)   国内

    2020年10月 - 2025年3月   

  • 日本学術振興会 R025委員会   委員   国内

    2020年10月 - 2023年3月   

  • 日本学術振興会   R025先進薄膜界面機能創成委員会 委員  

    2020年4月 - 現在   

      詳細を見る

    団体区分:学協会

    researchmap

  • 九州大学   同窓会評議委員  

    2020年4月 - 現在   

      詳細を見る

    団体区分:その他

    researchmap

  • 九州大学   電気情報工学科クラス指導教員  

    2019年2月 - 現在   

      詳細を見る

    団体区分:その他

    researchmap

  • 日本学術振興会 136委員会   委員   国内

    2016年4月 - 2023年3月   

  • 日本学術振興会   136委員会 委員  

    2016年4月 - 2022年3月   

      詳細を見る

    団体区分:学協会

    researchmap

  • Science and Technology of Advanced Materials (STAM誌)   編集委員  

    2016年2月 - 現在   

      詳細を見る

    団体区分:学協会

    researchmap

  • 応用物理学会 プラズマエレクトロニクス分科会   幹事   国内

    2015年4月 - 2019年3月   

  • 応用物理学会   代議員   国内

    2015年3月 - 2019年3月   

  • 日本学術振興会153委員会   委員  

    2013年11月 - 現在   

      詳細を見る

    団体区分:学協会

    researchmap

  • 日本学術振興会153委員会   委員   国内

    2013年11月 - 2022年3月   

  • 応用物理学会九州支部   理事  

    2012年5月 - 現在   

      詳細を見る

  • 応用物理学会九州支部   理事   国内

    2012年5月 - 2023年3月   

  • 文部科学省,科学技術政策研究所   専門調査員  

    2012年 - 現在   

      詳細を見る

    団体区分:学協会

    researchmap

  • 九州大学   工学部等放射線安全委員会委員  

    2010年8月 - 現在   

      詳細を見る

    団体区分:その他

    researchmap

▼全件表示

学術貢献活動

  • Committee 国際学術貢献

    International Symposium on Sputtering and Plasma Processes (ISSP)  ( Kyoto Japan ) 2024年7月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • International Symposium on Sputtering and Plasma Processes (ISSP) 国際学術貢献

    ( Kyoto Japan ) 2024年7月

     詳細を見る

    種別:大会・シンポジウム等 

    researchmap

  • International Scientific Committee Member 国際学術貢献

    Asian-Europian International Conference on Plasma Surface Engineering  ( Busan Korea ) 2023年11月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • Asian-Europian International Conference on Plasma Surface Engineering 国際学術貢献

    ( Busan Korea ) 2023年11月

     詳細を見る

    種別:大会・シンポジウム等 

    researchmap

  • 連携会員

    日本学術会議  2023年10月 - 2028年9月

     詳細を見る

  • Chair, Local Organizing Committee 国際学術貢献

    Advances in Functional Materials (AFM) Conference 2023  ( Fukuoka Japan ) 2023年1月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • Advances in Functional Materials (AFM) Conference 2023 国際学術貢献

    ( Fukuoka Japan ) 2023年1月

     詳細を見る

    種別:大会・シンポジウム等 

    researchmap

  • Committee 国際学術貢献

    International Symposium on Sputtering and Plasma Processes (ISSP)  ( Japan ) 2022年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • 国際プログラム委員 国際学術貢献

    ( 名古屋大学 ) 2022年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • 9th International Symposium on Control of Semiconductor Interfaces 国際学術貢献

    ( 名古屋大学 Japan ) 2022年9月

     詳細を見る

    種別:大会・シンポジウム等 

    researchmap

  • 応用物理

    2022年4月 - 2024年3月

     詳細を見る

    種別:学会・研究会等 

  • 応用物理

    2022年4月 - 2024年3月

     詳細を見る

    種別:査読等 

    researchmap

  • 連携会員,分科会幹事(これからの未来社会と応用物理分科会)

    日本学術会議  2020年10月 - 2028年9月

     詳細を見る

  • Committee member 国際学術貢献

    International Conference on Solid State Devices and Materials (SSDM)  ( Nagoya University Japan ) 2019年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:2,000

  • International Program Committee Member 国際学術貢献

    Asian-Europian International Conference on Plasma Surface Engineering  ( MAISON GLAD JEJU HOTEL Korea ) 2019年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • Committee member 国際学術貢献

    International Conference on Solid State Devices and Materials (SSDM)  ( Japan ) 2018年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:2,000

  • 学術論文等の審査

    役割:査読

    2018年

     詳細を見る

    種別:査読等 

    外国語雑誌 査読論文数:5

    国際会議録 査読論文数:70

  • International Program Committee 国際学術貢献

    The 11th Asian-European International Conference on Plasma  ( Jeju Island Korea ) 2017年9月 - 2018年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:2,000

  • Organizing Committee 国際学術貢献

    The 29th International Conference on Defects in Semiconductors  ( Matsue Japan ) 2017年7月 - 2017年8月

     詳細を見る

    種別:大会・シンポジウム等 

  • 学術論文等の審査

    役割:査読

    2017年

     詳細を見る

    種別:査読等 

    外国語雑誌 査読論文数:3

    国際会議録 査読論文数:40

  • Organizing Committee 国際学術貢献

    9th International Workshop on Zinc Oxide and Related Materials (IWZnO 2016)  ( Taipei Taiwan ) 2016年10月

     詳細を見る

    種別:大会・シンポジウム等 

  • 企画担当幹事

    第10回プラズマエレクトロニクスインキュベーションホール  ( 御殿場市 ) 2016年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:100

  • Science and Technology of Advanced Materials (STAM) 国際学術貢献

    2016年2月 - 2025年3月

     詳細を見る

    種別:学会・研究会等 

  • 座長(Chairmanship)

    平成27年度(第68回)電気 ・情報関係学会九州支部連合大会  ( 福岡大学 ) 2015年9月

     詳細を見る

    種別:大会・シンポジウム等 

  • International Program Committee 国際学術貢献

    10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  ( Jeju Korea ) 2015年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:500

  • 企画担当幹事

    第10回プラズマエレクトロニクスインキュベーションホール  ( 御殿場市 ) 2015年9月 - 2016年9月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:100

  • Program Committee 国際学術貢献

    International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials  ( Nagoya Japan ) 2015年3月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:500

  • Sympojium Chair 国際学術貢献

    International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials  ( Nagoya Japan ) 2015年3月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:500

  • 座長(Chairmanship) 国際学術貢献

    2015年1月

     詳細を見る

    種別:大会・シンポジウム等 

  • 座長(Chairmanship) 国際学術貢献

    2014年12月

     詳細を見る

    種別:大会・シンポジウム等 

  • Inter. Advisory Committee member 国際学術貢献

    IUMRS-ICYRAM2014  ( China ) 2014年10月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • 現地実行委員 国際学術貢献

    2014年2月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:500

  • 運営委員 国際学術貢献

    プラズマ材料科学シンポジウム  ( 福岡 ) 2013年9月

     詳細を見る

    種別:大会・シンポジウム等 

  • 論文委員 国際学術貢献

    2013年1月 - 2014年12月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:200

  • 座長(Chairmanship) 国際学術貢献

    2012年11月

     詳細を見る

    種別:大会・シンポジウム等 

  • 座長(Chairmanship) 国際学術貢献

    2012年9月

     詳細を見る

    種別:大会・シンポジウム等 

  • 座長(Chairmanship)

    電気関係学会九州支部連合大会  ( 長崎 ) 2012年9月

     詳細を見る

    種別:大会・シンポジウム等 

  • シンポジウムチェア 国際学術貢献

    2012年9月

     詳細を見る

    種別:大会・シンポジウム等 

  • Transactions of the Materials Research Society of Japan 国際学術貢献

    2012年8月 - 現在

     詳細を見る

    種別:学会・研究会等 

  • Transactions of the Materials Research Society of Japan 国際学術貢献

    役割:審査・評価

    2012年8月

     詳細を見る

    種別:査読等 

    researchmap

  • プログラム委員長

    電気関係学会九州支部連合大会  2012年4月 - 2013年3月

     詳細を見る

    種別:大会・シンポジウム等 

  • 座長(Chairmanship)

    応用物理学会2012年春季講演会  ( 東京 ) 2012年3月

     詳細を見る

    種別:大会・シンポジウム等 

  • 論文委員 国際学術貢献

    2012年2月 - 2013年12月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:200

  • 座長(Chairmanship) 国際学術貢献

    2011年11月

     詳細を見る

    種別:大会・シンポジウム等 

  • 座長(Chairmanship) 国際学術貢献

    2011年11月

     詳細を見る

    種別:大会・シンポジウム等 

  • プログラム副委員長

    電気関係学会九州支部連合大会  2011年4月 - 2012年3月

     詳細を見る

    種別:大会・シンポジウム等 

  • プログラム委員 国際学術貢献

    2011年2月 - 2011年12月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • 論文委員 国際学術貢献

    2011年2月 - 2011年12月

     詳細を見る

    種別:大会・シンポジウム等 

    参加者数:1,000

  • 座長(Chairmanship) 国際学術貢献

    2010年11月

     詳細を見る

    種別:大会・シンポジウム等 

  • プログラム編集

    電気関係学会九州支部連合大会  2010年7月 - 2010年10月

     詳細を見る

    種別:大会・シンポジウム等 

  • 座長(Chairmanship)

    九州表面・真空研究会2010  2010年6月

     詳細を見る

    種別:大会・シンポジウム等 

▼全件表示

共同研究・競争的資金等の研究課題

  • 励起子が情報を記憶する新概念・光メモリの創製

    研究課題/領域番号:24K00929  2024年4月 - 2028年3月

    科学研究費助成事業  基盤研究(B)

    板垣 奈穂, 木山 治樹

      詳細を見る

    資金種別:科研費

    励起子は,半導体の光物性と光機能を担う中核として,主にその生成・消滅に着目した物性解明と受発光デバイスへの工学的応用が行われてきた.一方で,「電子とホールが再結合する過程=中間状態」である励起子が,外場中でどのように輸送され,保存されるかの議論は少ない.本研究の目的は,「マクロスケールでは電気的に中性で,かつ中間状態である励起子は,外場中でどのように輸送・保存されるのか? 」を明らかにすることで、励起子の輸送と保存を利用した革新デバイスを創製することである.

    CiNii Research

  • スピン軌道相互作用を利用した集積スピン量子ビット基盤の開拓

    研究課題/領域番号:23K26486  2023年4月 - 2026年3月

    科学研究費助成事業  基盤研究(B)

    木山 治樹, 板垣 奈穂

      詳細を見る

    資金種別:科研費

    本研究では、集積化に適した量子ビットとして、スピン軌道相互作用を利用した半導体スピン量子ビットに着目し、その基盤技術の開発に取り組む。まず、強いスピン軌道相互作用を示す材料を用いて、集積化に適したゲート制御量子ドットの作製手法を確立する。次に、スピン軌道相互作用を用いたスピン量子ビット高速操作、およびg因子のゲート電圧制御による共鳴周波数の精密制御手法の開発に取り組む。これらの技術を組み合わせることで、大規模集積化可能なスピン量子ビットデバイスの実現を目指す。

    CiNii Research

  • 逆SKモードを利用したパワーデバイス用・窒化物半導体薄膜の単結晶成長 国際共著

    2023年4月

    九州大学(日本),フラウンホーファー研究所(独) 

  • 不均一場中での励起子ドリフトの実証と新概念・励起子デバイスの創製

    研究課題/領域番号:23K17752  2023年 - 2024年

    日本学術振興会  科学研究費助成事業  挑戦的研究(萌芽)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • 励起子トランジスタ用ZnO/ZnMgO ヘテロ構造における時空間分解ルミネッセンス計測

    2022年4月 - 2025年3月

    九州大学(日本),東北大学(日本) 

      詳細を見る

    担当区分:研究代表者 

  • ポストムーア時代を見据えた超伝導コンピューティング技術の創成と展開

    2022年4月

    文科省 

      詳細を見る

    担当区分:研究分担者 

    科研費・基盤研究(S)

  • ポストムーア時代を見据えた超伝導コンピューティング技術の創成と展開

    研究課題/領域番号:22H05000  2022年 - 2026年

    日本学術振興会  科学研究費助成事業  基盤研究(S)

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • 量子コンピュータ講座の設置

    2022年 - 2026年

    大学改革活性化制度

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

  • 村田学術振興財団研究助成/新規II-III-V-VI族化合物半導体による室温動作エキシトントランジスタの開発

    2022年

      詳細を見る

    資金種別:寄附金

  • 量子―古典ハイブリッドコンピューター研究設備置

    2022年

    九州大学研究環境整備事業「最先端設備」

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

  • 励起子トランジスタの創成と励起子輸送の学理探求:情報担体へ進化する励起子

    2021年4月 - 2025年3月

    文科省 

      詳細を見る

    担当区分:研究代表者 

    科研費・基盤研究(B)

  • 励起子トランジスタの創成と励起子輸送の学理探求:情報担体へ進化する励起子

    研究課題/領域番号:23K20953  2021年4月 - 2025年3月

    科学研究費助成事業  基盤研究(B)

    板垣 奈穂, 白谷 正治

      詳細を見る

    資金種別:科研費

    励起子は電子と正孔がクーロン相互作用で結合した準粒子であり,半導体の光物性と光機能を担う中核として長年にわたり膨大な研究がなされてきた.一方でマクロスケールでは電気的に中性とみなされる励起子が,電界などの外場中でどのように輸送されるかの議論は殆どなされていない.本研究の最終目的は,外場中での励起子輸送メカニズムを解明し,励起子を情報担体へと進化させることである.
    本課題ではまず,その進化の鍵となる非局在型の室温・長寿命励起子をオリジナル材料ZAIONを用いて実現する.そしてこれを外場による励起子輸送の機構解明の場とするとともに,励起子のドリフト輸送を利用した励起子トランジスタを作製する.

    CiNii Research

  • 励起子トランジスタの創成と励起子輸送の学理探求:情報担体へ進化する励起子

    研究課題/領域番号:21H01372  2021年 - 2024年

    日本学術振興会  科学研究費助成事業  基盤研究(B)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • 量子情報を記憶する「明るい」長寿命励起子の創製

    研究課題/領域番号:21K18731  2021年 - 2022年

    日本学術振興会  科学研究費助成事業  挑戦的研究(萌芽)

    板垣 奈穂

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

    本研究は,代表者オリジナル技術を背景に,励起子が量子情報を記憶する革新的デバイスを創製するものである.励起子を「電子と正孔が再結合する過程 (=中間状態)としての準粒子」として扱い,受発光を伴うその生成と消滅に着目した工学的応用を行ってきたこれまでの励起子研究を転換させる点で,挑戦的研究としての意義を有する.この記憶する励起子を用い,大規模集積化において有利な光によるデータ伝送を前提とした量子コンピュータの実現を目指す点で,実用的観点からも意義を有する.本研究は,明るい励起子そのもののを長寿命化させる,というアイデアにより,従来の励起子において存在した寿命と制御性のトレードオフ問題を解決する.

    CiNii Research

  • 豊田理研スカラー/新規II-III-V-VI 族化合物半導体による長寿命なブライト励起子の創製

    2021年

      詳細を見る

    資金種別:寄附金

  • エキシトンが情報を伝達し記憶する革新的古典/量子デバイスの創製

    2019年7月 - 2021年3月

    文科省 

      詳細を見る

    担当区分:研究代表者 

    科研費・挑戦的研究(萌芽)

  • エキシトンが情報を伝達し記憶する革新的古典/量子デバイスの創製

    研究課題/領域番号:19K21978  2019年 - 2020年

    日本学術振興会  科学研究費助成事業  挑戦的研究(萌芽)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • サムコ科学技術財団・薄膜技術に関する研究助成

    2019年

      詳細を見る

    資金種別:寄附金

  • データ駆動型科学に基づく革新デバイス実現のためのオープンプラットフォーム整備

    2019年

    九州大学ルネッサンスプロジェクト 若手研究者研究環境整備

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

  • 新材料(ZnO)x(InN)1-xを用いたSi基板上への小型E/O変換デバイスの創製

    2018年10月 - 2019年9月

    サムコ科学技術財団 

      詳細を見る

    担当区分:研究代表者 

    サムコ科学技術財団・薄膜技術に関する研究助成

  • スパッタエピタキシーによる革新的エキシトンデバイスの実現と励起子輸送機構の解明の研究

    2018年4月 - 2022年3月

    文科省 

      詳細を見る

    担当区分:研究代表者 

    科研費・基盤B

  • スパッタエピタキシーによる革新的エキシトンデバイスの実現と励起子輸送機構の解明

    研究課題/領域番号:18H01206  2018年 - 2021年

    日本学術振興会  科学研究費助成事業  基盤研究(B)

    板垣 奈穂, 白谷 正治

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

    本研究は,高精度フラックス制御スパッタと代表者オリジナル材料ZIONを駆使して,革新的エキシトンデバイスを創製することを目的とした.主な成果としては,①単結晶ZIONエピタキシャル成長,②原子レベルで急峻なZION/ZnOヘテロ界面の形成,③ゲート電極への光照射によるエキシトントランジスタのスイッチング動作実証,が挙げられる.また本研究では,従来ブラックボックスとなっていた外場によるエキシトン輸送の機構解明も試みた.エキシトン輸送方向に平行な電界成分を外力とした拡散方程式を解いた結果,両極性拡散と不均一電界中での双極子の並進運動がデバイス内でのエキシトンの主な輸送機構である可能性が示された.

    CiNii Research

  • 光電子集積回路を実現する革新的エキシトニクスデバイスの創製

    2017年11月 - 2022年3月

    共同研究

      詳細を見る

    担当区分:研究代表者  資金種別:その他産学連携による資金

  • 光電子集積回路を実現する革新的エキシトニクスデバイスの創製

    2017年11月 - 2022年3月

      詳細を見る

    担当区分:研究代表者 

    NTT-九州大学基礎科学共同研究プログラム

  • 新材料ZIONを用いた超小型・高速E/O変換デバイスの創製

    2016年

      詳細を見る

    資金種別:寄附金

  • フラックス制御スパッタによる高品質酸窒化物の創製と新概念光スイッチへの応用

    2015年4月 - 2018年3月

    文科省 

      詳細を見る

    担当区分:研究代表者 

    科研費若手A

  • フラックス制御スパッタによる高品質酸窒化物の創製と新概念光スイッチへの応用

    研究課題/領域番号:15H05431  2015年 - 2018年

    科学研究費助成事業  若手研究(A)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • 研究助成/2次元エキシトンガスをチャネルとした超高速・超低消費電力トランジスタの創成

    2014年

      詳細を見る

    資金種別:寄附金

  • 高品質ZnO薄膜の形成とそのキャリア伝導機構の解明 国際共著

    2013年8月

    九州大学(日本),ライト州立大(米国) 

      詳細を見る

    担当区分:研究代表者 

  • 酸窒化物超格子のピエゾ電界を利用した室温動作エキシトニクスデバイスの創成

    2013年4月 - 2015年3月

    日本学術振興会 

      詳細を見る

    担当区分:研究代表者 

  • 酸窒化物量子井戸中のエキシトン流を利用した高速・低消費電力トランジスタの創成

    研究課題/領域番号:25630127  2013年 - 2014年

    科学研究費助成事業  挑戦的萌芽研究

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • 研究助成/高速・低消費電力エキシトニックトランジスタを実現する新材料ZnInON歪量子井戸チャネルの開発

    2013年

      詳細を見る

    資金種別:寄附金

  • プラズマナノマテリアル動態学の創成

    2012年4月 - 2014年10月

    日本学術振興会 

      詳細を見る

    担当区分:研究分担者 

  • モジュール型ディスプレイ技術の研究

    2012年 - 2017年

    平成22年度先端的低炭素化技術開発事業

      詳細を見る

    担当区分:連携研究者  資金種別:受託研究

  • プラズマ・ナノマテリアル動態学の創成と安全安心医療科学の構築

    研究課題/領域番号:24108009  2012年 - 2014年

    日本学術振興会・文部科学省  科学研究費助成事業  新学術領域研究

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • 豊田理研スカラー/室温動作型エキシトニックトランジスタを実現する新規酸窒化物半導体材料の創成

    2012年

      詳細を見る

    資金種別:寄附金

  • JST 戦略的創造研究推進事業(さきがけ), 研究領域「太陽光と光電変換機能」: 新規酸窒化物を用いたピエゾ電界誘起量子井戸型太陽電池の創製

    2011年10月 - 2015年3月

      詳細を見る

    担当区分:研究代表者 

  • スパッタリングプラズマ中の熱フラックス制御による高品質酸化膜の作製 国際共著

    2011年7月

    九州大学(日本),キール大学(独),グライフスヴァルト大(独) 

      詳細を見る

    担当区分:研究代表者 

  • ナノ粒子含有プラズマを用いた細胞活性制御プログラム

    2011年 - 2015年

    日本学術振興会・文部科学省  科学研究費助成事業  新学術領域研究

      詳細を見る

    担当区分:連携研究者  資金種別:科研費

  • 新規酸窒化物を用いたピエゾ電界誘起量子井戸型太陽電池の創製

    2011年 - 2014年

    戦略的創造研究推進事業 (文部科学省)

      詳細を見る

    担当区分:研究代表者  資金種別:受託研究

  • 酸窒化物超格子のピエゾ電界を利用した室温動作エキシトニクスデバイスの創成

    研究課題/領域番号:23760285  2011年 - 2012年

    科学研究費助成事業  若手研究(B)

      詳細を見る

    担当区分:研究代表者  資金種別:科研費

  • 財団法人九州大学後援会 「教員の研究プロジェクト」/エキシトニクス流を用いた超高速・超低消費電力型新概念トランジスタの創 成

    2011年

      詳細を見る

    資金種別:寄附金

  • 国際交流助成

    2011年

      詳細を見る

    資金種別:寄附金

  • 超格子構造を有する新規酸窒化物半導体材料の創成と高効率フレキシブル太陽電池への応用

    2011年

    益財団法人 旭硝子財団 自然科学系「研究奨励」

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

  • 革新的半導体材料を用いた超高性能・フレキシブルデバイスの創成

    2011年

    九州大学教育研究プログラム・研究拠点形成プロジェクト

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

  • エキシトニクス流用いた超高速・超低消費電力型新概念トランジスタの創成

    2011年

    財団法人九州大学後援会 「教員の研究プロジェクト」

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

  • ナノ粒子制御によるa-Siセルの高光安定化に関する研究

    2010年10月 - 2012年3月

    NEDO太陽光発電技術組合 

      詳細を見る

    担当区分:研究分担者 

  • ナノ粒子含有プラズマによるナノ界面ボンドエンジニアリングの創生

    2010年4月 - 2013年3月

      詳細を見る

    担当区分:研究分担者 

  • ナノ粒子含有プラズマによるナノ界面ボンドエンジニアリングの創生

    研究課題/領域番号:21110005  2010年 - 2013年

    日本学術振興会・文部科学省  科学研究費助成事業  新学術領域研究

      詳細を見る

    担当区分:研究分担者  資金種別:科研費

  • ナノ粒子制御によるa-Siセルの高光安定化に関する研究

    2010年 - 2012年

    産業技術研究助成事業 (経済産業省)

      詳細を見る

    担当区分:研究分担者  資金種別:受託研究

  • 矢崎科学技術振興記念財団 2010(H22)年度『国際交流援助』 High quality ZnO films prepared by solid-phase crystallization of amorphous ZnON films

    2010年

      詳細を見る

    資金種別:寄附金

  • Solid phase crystallization of ZnO films via nitrogen-atom mediation

    2010年

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

  • Novel Fabrication Method For Transparent Conducting Oxide Films Utilizing Solid-Phase Crystallized Seed Layers

    2010年

      詳細を見る

    担当区分:研究代表者  資金種別:学内資金・基金等

▼全件表示

教育活動概要

  • ●全学教育
     電気情報工学入門
     Fundamentals of Electrical Engineering
    ●学部
     電磁気学III
     電気工学基礎
     電気情報数学 
     卒業研究

    ●大学院
     ナノプロセス工学特論
     電気電子工学特別研究第一
     電気電子工学特別研究第二
     ナノプロセス特別講究

担当授業科目

  • ナノプロセス工学特論Ⅱ

    2024年6月 - 2024年8月   夏学期

  • 電気情報数学Ⅱ(EE)

    2024年6月 - 2024年8月   夏学期

  • 電磁気学Ⅳ(EC)

    2024年6月 - 2024年8月   夏学期

  • 電気電子工学演示Ⅰ

    2024年4月 - 2024年9月   前期

  • 電気情報数学(A)

    2024年4月 - 2024年9月   前期

  • 電磁気学Ⅲ(B)【前期】

    2024年4月 - 2024年9月   前期

  • 電気電子工学読解Ⅰ

    2024年4月 - 2024年9月   前期

  • ナノプロセス工学特論Ⅰ

    2024年4月 - 2024年6月   春学期

  • 電気情報数学Ⅰ(EE)

    2024年4月 - 2024年6月   春学期

  • 電磁気学Ⅲ(EC)

    2024年4月 - 2024年6月   春学期

  • (IUPE)Fundamentals of Electrical Eng II

    2023年12月 - 2024年2月   冬学期

  • 電気電子工学演示Ⅱ

    2023年10月 - 2024年3月   後期

  • 電気電子工学読解Ⅱ

    2023年10月 - 2024年3月   後期

  • (IUPE)Fundamentals of Electrical Eng I

    2023年10月 - 2023年12月   秋学期

  • ナノプロセス工学特論Ⅱ

    2023年6月 - 2023年8月   夏学期

  • 電気情報数学Ⅱ(EE)

    2023年6月 - 2023年8月   夏学期

  • 電磁気学Ⅳ(EC)

    2023年6月 - 2023年8月   夏学期

  • Advanced Research in Electrical and Electronic Eng II

    2023年4月 - 2024年3月   通年

  • Advanced Research in Electrical and Electronic Engineering I

    2023年4月 - 2024年3月   通年

  • Advanced Seminar in Electrical and Electronic Engineering

    2023年4月 - 2024年3月   通年

  • 電気電子工学特別研究Ⅱ

    2023年4月 - 2024年3月   通年

  • 電気電子工学特別研究Ⅰ

    2023年4月 - 2024年3月   通年

  • 電気電子工学特別演習

    2023年4月 - 2024年3月   通年

  • Advanced Research in Nanoprocess

    2023年4月 - 2024年3月   通年

  • ナノプロセス特別講究

    2023年4月 - 2024年3月   通年

  • 電気情報数学(A)

    2023年4月 - 2023年9月   前期

  • 電磁気学Ⅲ(B)【前期】

    2023年4月 - 2023年9月   前期

  • 電気電子工学読解Ⅰ

    2023年4月 - 2023年9月   前期

  • 電気電子工学演示Ⅰ

    2023年4月 - 2023年9月   前期

  • 電気情報数学Ⅰ(EE)

    2023年4月 - 2023年6月   春学期

  • 電磁気学Ⅲ(EC)

    2023年4月 - 2023年6月   春学期

  • ナノプロセス工学特論Ⅰ

    2023年4月 - 2023年6月   春学期

  • (IUPE)Fundamentals of Electrical Eng II

    2022年12月 - 2023年2月   冬学期

  • (IUPE)Fundamentals of Electrical Eng II

    2022年12月 - 2023年2月   冬学期

  • 電気電子工学読解Ⅱ

    2022年10月 - 2023年3月   後期

  • 電気電子工学演示Ⅱ

    2022年10月 - 2023年3月   後期

  • (IUPE)Fund. of Electrical Eng and Computer Science I

    2022年10月 - 2022年12月   秋学期

  • (IUPE)Fundamentals of Electrical Eng I

    2022年10月 - 2022年12月   秋学期

  • (IUPE)Fundamentals of Electrical Eng II

    2022年10月 - 2022年12月   秋学期

  • ナノプロセス工学特論Ⅱ

    2022年6月 - 2022年8月   夏学期

  • 電気情報数学Ⅱ(EE)

    2022年6月 - 2022年8月   夏学期

  • ナノプロセス工学特論Ⅱ

    2022年6月 - 2022年8月   夏学期

  • 国際演示技法

    2022年4月 - 2023年3月   通年

  • 知的財産技法

    2022年4月 - 2023年3月   通年

  • ティーチング演習

    2022年4月 - 2023年3月   通年

  • 先端プロジェクト管理技法

    2022年4月 - 2023年3月   通年

  • Scientific English Presentation

    2022年4月 - 2023年3月   通年

  • Intellectual Property Management

    2022年4月 - 2023年3月   通年

  • Exercise in Teaching

    2022年4月 - 2023年3月   通年

  • Advanced Project Management Technique

    2022年4月 - 2023年3月   通年

  • ナノプロセス特別講究

    2022年4月 - 2023年3月   通年

  • Advanced Research in Nanoprocess

    2022年4月 - 2023年3月   通年

  • 電気電子工学特別演習

    2022年4月 - 2023年3月   通年

  • 電気電子工学特別講究第一

    2022年4月 - 2023年3月   通年

  • 電気電子工学特別講究第二

    2022年4月 - 2023年3月   通年

  • Advanced Research in Electrical and Electronic Engineering I

    2022年4月 - 2023年3月   通年

  • Adv Res in Electrical and Electronic Engineering II

    2022年4月 - 2023年3月   通年

  • Advanced Seminar in Electrical and Electronic Engineering

    2022年4月 - 2023年3月   通年

  • 電磁気学Ⅲ(B)

    2022年4月 - 2022年9月   前期

  • 電気情報数学(A)

    2022年4月 - 2022年9月   前期

  • 電気電子工学読解Ⅰ

    2022年4月 - 2022年9月   前期

  • 電気電子工学演示Ⅰ

    2022年4月 - 2022年9月   前期

  • 電気情報数学II(EE)

    2022年4月 - 2022年9月   前期

  • 電気情報数学I(EE)

    2022年4月 - 2022年9月   前期

  • 電磁気学Ⅲ(B)

    2022年4月 - 2022年9月   前期

  • 電気電子工学読解Ⅰ

    2022年4月 - 2022年9月   前期

  • [M2]電気電子工学演習第三

    2022年4月 - 2022年9月   前期

  • 電気情報数学Ⅰ(EE)

    2022年4月 - 2022年6月   春学期

  • ナノプロセス工学特論Ⅰ

    2022年4月 - 2022年6月   春学期

  • ナノプロセス工学特論Ⅰ

    2022年4月 - 2022年6月   春学期

  • (IUPE)Fundamentals of Electrical Eng II

    2021年12月 - 2022年2月   冬学期

  • (IUPE)Fundamentals of Electrical Eng II

    2021年12月 - 2022年2月   冬学期

  • 電気電子工学読解Ⅱ

    2021年10月 - 2022年3月   後期

  • (IUPE)Fundamentals of Electrical Eng II

    2021年10月 - 2021年12月   秋学期

  • (IUPE)Fundamentals of Electrical Eng I

    2021年10月 - 2021年12月   秋学期

  • ナノプロセス工学特論Ⅱ

    2021年6月 - 2021年8月   夏学期

  • Advanced Seminar in Electrical and Electronic Engineering

    2021年4月 - 2022年3月   通年

  • 国際演示技法

    2021年4月 - 2022年3月   通年

  • 知的財産技法

    2021年4月 - 2022年3月   通年

  • ティーチング演習

    2021年4月 - 2022年3月   通年

  • 先端プロジェクト管理技法

    2021年4月 - 2022年3月   通年

  • Scientific English Presentation

    2021年4月 - 2022年3月   通年

  • Intellectual Property Management

    2021年4月 - 2022年3月   通年

  • Exercise in Teaching

    2021年4月 - 2022年3月   通年

  • Advanced Project Management Technique

    2021年4月 - 2022年3月   通年

  • ナノプロセス特別講究

    2021年4月 - 2022年3月   通年

  • Advanced Research in Nanoprocess

    2021年4月 - 2022年3月   通年

  • 電気電子工学特別演習

    2021年4月 - 2022年3月   通年

  • 電気電子工学特別講究第一

    2021年4月 - 2022年3月   通年

  • 電気電子工学特別講究第二

    2021年4月 - 2022年3月   通年

  • Advanced Research in Electrical and Electronic Engineering I

    2021年4月 - 2022年3月   通年

  • Adv Res in Electrical and Electronic Engineering II

    2021年4月 - 2022年3月   通年

  • [M2]電気電子工学演習第三

    2021年4月 - 2021年9月   前期

  • 電気電子工学読解Ⅰ

    2021年4月 - 2021年9月   前期

  • 電磁気学Ⅲ(B)

    2021年4月 - 2021年9月   前期

  • ナノプロセス工学特論Ⅰ

    2021年4月 - 2021年6月   春学期

  • (IUPE)Fundamentals of Electrical Eng II

    2020年12月 - 2021年2月   冬学期

  • (IUPE)Fundamentals of Electrical Eng II

    2020年12月 - 2021年2月   冬学期

  • 電気電子工学演習第二

    2020年10月 - 2021年3月   後期

  • 電気電子工学演習第二

    2020年10月 - 2021年3月   後期

  • (IUPE)Fundamentals of Electrical Eng II

    2020年10月 - 2020年12月   秋学期

  • (IUPE)Fundamentals of Electrical Eng I

    2020年10月 - 2020年12月   秋学期

  • Advanced Seminar in Electrical and Electronic Engineering

    2020年4月 - 2021年3月   通年

  • 国際演示技法

    2020年4月 - 2021年3月   通年

  • 知的財産技法

    2020年4月 - 2021年3月   通年

  • ティーチング演習

    2020年4月 - 2021年3月   通年

  • 先端プロジェクト管理技法

    2020年4月 - 2021年3月   通年

  • Scientific English Presentation

    2020年4月 - 2021年3月   通年

  • Intellectual Property Management

    2020年4月 - 2021年3月   通年

  • Exercise in Teaching

    2020年4月 - 2021年3月   通年

  • Advanced Project Management Technique

    2020年4月 - 2021年3月   通年

  • ナノプロセス特別講究

    2020年4月 - 2021年3月   通年

  • Advanced Research in Nanoprocess

    2020年4月 - 2021年3月   通年

  • 電気電子工学特別演習

    2020年4月 - 2021年3月   通年

  • 電気電子工学特別講究第一

    2020年4月 - 2021年3月   通年

  • 電気電子工学特別講究第二

    2020年4月 - 2021年3月   通年

  • Advanced Research in Electrical and Electronic Engineering I

    2020年4月 - 2021年3月   通年

  • Adv Res in Electrical and Electronic Engineering II

    2020年4月 - 2021年3月   通年

  • 電磁気学Ⅲ(B)

    2020年4月 - 2020年9月   前期

  • ナノプロセス工学特論

    2020年4月 - 2020年9月   前期

  • 電気情報工学入門Ⅰ

    2020年4月 - 2020年9月   前期

  • 電気電子工学特別研究第一

    2020年4月 - 2020年9月   前期

  • 電気電子工学特別研究第ニ

    2020年4月 - 2020年9月   前期

  • ナノプロセス工学特論

    2020年4月 - 2020年9月   前期

  • 電気電子工学演習第一

    2020年4月 - 2020年9月   前期

  • 電気電子工学演習第三

    2020年4月 - 2020年9月   前期

  • (IUPE)Fundamentals of Electrical Eng II

    2019年12月 - 2020年2月   冬学期

  • 電気電子工学演習第二

    2019年10月 - 2020年3月   後期

  • (IUPE)Fundamentals of Electrical Eng I

    2019年10月 - 2019年12月   秋学期

  • 電気電子工学特別研究第ニ

    2019年4月 - 2019年9月   前期

  • 電磁気学Ⅲ(B)

    2019年4月 - 2019年9月   前期

  • 電気情報工学入門Ⅰ

    2019年4月 - 2019年9月   前期

  • ナノプロセス工学特論

    2019年4月 - 2019年9月   前期

  • 電気電子工学演習第一

    2019年4月 - 2019年9月   前期

  • 電気電子工学演習第三

    2019年4月 - 2019年9月   前期

  • 電気電子工学特別研究第一

    2019年4月 - 2019年9月   前期

  • 電気電子工学特別研究第一

    2018年10月 - 2019年3月   後期

  • 電気電子工学演習第二

    2018年10月 - 2019年3月   後期

  • 電気電子工学演習第三

    2018年4月 - 2018年9月   前期

  • ナノプロセス工学特論

    2018年4月 - 2018年9月   前期

  • 電磁気学Ⅲ

    2018年4月 - 2018年9月   前期

  • 電気電子工学演習第一

    2018年4月 - 2018年9月   前期

  • Fundamentals of Electrical Engineering

    2018年4月 - 2018年6月   春学期

  • 電気電子工学演習第二

    2017年10月 - 2018年3月   後期

  • Advanced Seminar in Electrical and Electronic Engineering

    2017年4月 - 2018年3月   通年

  • 国際演示技法

    2017年4月 - 2018年3月   通年

  • 知的財産技法

    2017年4月 - 2018年3月   通年

  • ティーチング演習

    2017年4月 - 2018年3月   通年

  • 先端プロジェクト管理技法

    2017年4月 - 2018年3月   通年

  • Overseas Internship

    2017年4月 - 2018年3月   通年

  • Scientific English Presentation

    2017年4月 - 2018年3月   通年

  • Intellectual Property Management

    2017年4月 - 2018年3月   通年

  • Exercise in Teaching

    2017年4月 - 2018年3月   通年

  • Advanced Project Management Technique

    2017年4月 - 2018年3月   通年

  • 電気電子工学特別講究第一

    2017年4月 - 2018年3月   通年

  • 電気電子工学特別講究第二

    2017年4月 - 2018年3月   通年

  • Advanced Research in Electrical and Electronic Engineering I

    2017年4月 - 2018年3月   通年

  • Adv Res in Electrical and Electronic Engineering II

    2017年4月 - 2018年3月   通年

  • 電気電子工学特別演習

    2017年4月 - 2018年3月   通年

  • 電気電子工学演習第三

    2017年4月 - 2017年9月   前期

  • ナノプロセス工学特論

    2017年4月 - 2017年9月   前期

  • 電磁気学Ⅲ

    2017年4月 - 2017年9月   前期

  • 電気電子工学演習第一

    2017年4月 - 2017年9月   前期

  • Fundamentals of Electrical Engineering

    2017年4月 - 2017年6月   春学期

  • Fundamentals of Electrical Engineering (国際コース)

    2016年10月 - 2017年3月   後期

  • 電気電子工学演習第三

    2016年10月 - 2017年3月   後期

  • 電気電子工学演習第一

    2016年10月 - 2017年3月   後期

  • 電気電子工学特別研究

    2016年4月 - 2017年3月   通年

  • 電磁気学III

    2016年4月 - 2016年9月   前期

  • 電気電子工学演習第二

    2016年4月 - 2016年9月   前期

  • 電気情報工学入門

    2016年4月 - 2016年9月   前期

  • ナノプロセス工学特論

    2016年4月 - 2016年9月   前期

  • Fundamentals of Electrical Engineering (国際コース)

    2015年10月 - 2016年3月   後期

  • 電気情報工学入門

    2015年4月 - 2015年9月   前期

  • ナノプロセス工学特論

    2015年4月 - 2015年9月   前期

  • 電磁気学III

    2014年10月 - 2015年3月   後期

  • 電気情報工学入門

    2014年4月 - 2014年9月   前期

  • ナノプロセス工学特論

    2014年4月 - 2014年9月   前期

  • 電磁気学III

    2013年10月 - 2014年3月   後期

  • 電気情報工学入門

    2013年4月 - 2013年9月   前期

  • ナノプロセス工学特論

    2013年4月 - 2013年9月   前期

  • 電気工学基礎

    2012年10月 - 2013年3月   後期

  • 電気情報数学

    2012年4月 - 2012年9月   前期

  • ナノプロセス工学特論

    2012年4月 - 2012年9月   前期

  • 電気情報工学入門

    2012年4月 - 2012年9月   前期

  • 電気工学基礎

    2011年10月 - 2012年3月   後期

  • ナノプロセス工学特論

    2011年4月 - 2011年9月   前期

  • 電気情報工学入門

    2011年4月 - 2011年9月   前期

  • 電気工学基礎

    2010年10月 - 2011年3月   後期

  • 電気情報工学入門

    2010年4月 - 2010年9月   前期

  • ナノプロセス工学特論

    2010年4月 - 2010年9月   前期

▼全件表示

FD参加状況

  • 2023年10月   役割:参加   名称:【シス情FD】価値創造型半導体人材育成センターについて

    主催組織:部局

  • 2022年3月   役割:参加   名称:全学FD:メンタルヘルス講演会

    主催組織:全学

  • 2022年3月   役割:参加   名称:メンタルヘルス講演会

    主催組織:全学

  • 2020年9月   役割:参加   名称:電気情報工学科総合型選抜(AO入試)について

    主催組織:部局

  • 2018年9月   役割:参加   名称:九州能開大の教育システムのご紹介

    主催組織:部局

  • 2018年1月   役割:参加   名称:工学研究院・システム情報科学研究院FD

    主催組織:部局

  • 2016年9月   役割:参加   名称:システム情報科学研究院第ファカルティデベロップメント

    主催組織:部局

  • 2016年2月   役割:企画   名称:システム情報科学研究院ファカルティデベロップメント

    主催組織:部局

  • 2013年12月   役割:企画   名称:システム情報科学研究院第5回ファカルティデベロップメント

    主催組織:部局

  • 2010年3月   役割:参加   名称:H22年度 第2回システム情報科学府ファカルティディベロップメント

    主催組織:学科

▼全件表示

他大学・他機関等の客員・兼任・非常勤講師等

  • 2021年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2020年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2019年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2018年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2017年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2016年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2015年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2014年  独立行政法人 科学技術振興機構  区分:兼任教員  国内外の区分:国内 

  • 2014年  大阪大学接合科学研究所 共同研究員  国内外の区分:国内 

  • 2014年  RMIT University, Australia, 博士論文審査員  国内外の区分:国外 

  • 2013年  独立行政法人 科学技術振興機構  区分:兼任教員  国内外の区分:国内 

  • 2012年  独立行政法人 科学技術振興機構  区分:兼任教員  国内外の区分:国内 

  • 2011年  独立行政法人 科学技術振興機構  区分:兼任教員  国内外の区分:国内 

  • 2010年  釜山大学  区分:集中講義  国内外の区分:国外 

    学期、曜日時限または期間:2010年08月

▼全件表示

国際教育イベント等への参加状況等

  • 2023年5月

    International Plasma Chemistry Society

    Summer School, 25th International Symposium on Plasma Chemistry

      詳細を見る

    開催国・都市名:Kyoto, Japan

    参加者数:100

  • 2015年8月

    九州大学

    インターンシップ受入(都城高専)

  • 2010年8月

    九州大学・釜山大学

    釜山大学とのStudent exchange program

その他教育活動及び特記事項

  • 2024年  クラス担任  学部

  • 2023年  クラス担任  学部

  • 2022年  クラス担任  学部

  • 2022年  その他特記事項  博士課程学生・学内アドバイザリ委員

     詳細を見る

    博士課程学生・学内アドバイザリ委員

  • 2022年  その他特記事項  博士課程学生(社会人)・学内アドバイザリ委員

     詳細を見る

    博士課程学生(社会人)・学内アドバイザリ委員

  • 2021年  クラス担任  学部

  • 2021年  その他特記事項  博士課程学生・学内アドバイザリ委員

     詳細を見る

    博士課程学生・学内アドバイザリ委員

  • 2020年  クラス担任  学部

  • 2019年  クラス担任  学部

  • 2018年  クラス担任  学部

  • 2017年  クラス担任  学部

  • 2017年  その他特記事項  明治学園高等学校での出前授業

     詳細を見る

    明治学園高等学校での出前授業

  • 2017年  その他特記事項  博士課程学生・学内アドバイザリ委員

     詳細を見る

    博士課程学生・学内アドバイザリ委員

  • 2016年  クラス担任  学部

  • 2015年  クラス担任  学部

  • 2014年  クラス担任  学部

  • 2013年  クラス担任  学部

  • 2012年  クラス担任  学部

  • 2011年  クラス担任  学部

  • 2010年  クラス担任  学部

  • 2010年  その他特記事項  釜山大学とのStudent exchange programによる韓国人留学生(3名)への研究指導

     詳細を見る

    釜山大学とのStudent exchange programによる韓国人留学生(3名)への研究指導

  • 2010年  その他特記事項  Lectures of Electrical Engineering in English:,Processes of Silicon Thin Film Solar CellsにおいてTransparent conducting oxide films for solar cellsの講義を担当

     詳細を見る

    Lectures of Electrical Engineering in English:,Processes of Silicon Thin Film Solar CellsにおいてTransparent conducting oxide films for solar cellsの講義を担当

▼全件表示

社会貢献・国際連携活動概要

  • 九州大学女子卒業生の会・松の実会・理事

社会貢献活動

  • 出前授業/工学部のススメ ~科学技術のブレークスルーを実現し,社会の諸問題に挑戦する ~

    明治学園中学高等学校  2017年7月

     詳細を見る

    対象: 幼稚園以下, 小学生, 中学生, 高校生

    種別:セミナー・ワークショップ

  • 出前授業/工学部のススメ ~科学技術のブレークスルーを実現し,社会の諸問題に挑戦する ~

    明治学園中学高等学校  2017年7月

     詳細を見る

    種別:セミナー・ワークショップ

    researchmap

  • 応用物理学会九州支部・福岡市立少年科学文化会館主催 リフレッシュ理科教室実行委員

    2012年7月

     詳細を見る

    対象: 幼稚園以下, 小学生, 中学生, 高校生

    種別:セミナー・ワークショップ

  • 応用物理学会九州支部・福岡市立少年科学文化会館主催 リフレッシュ理科教室実行委員

    2012年7月

     詳細を見る

    種別:サイエンスカフェ

    researchmap

  • 応用物理学会九州支部・福岡市立少年科学文化会館主催 リフレッシュ理科教室実行委員

    2011年7月

     詳細を見る

    対象: 幼稚園以下, 小学生, 中学生, 高校生

    種別:セミナー・ワークショップ

  • 応用物理学会九州支部・福岡市立少年科学文化会館主催 リフレッシュ理科教室実行委員

    2011年7月

     詳細を見る

    種別:サイエンスカフェ

    researchmap

▼全件表示

メディア報道

  • 理系という選択 新聞・雑誌

    リビング福岡  2014年6月

     詳細を見る

    理系という選択

政策形成、学術振興等への寄与活動

  • 2023年10月 - 2028年9月   日本学術会議

    連携会員

  • 2020年10月 - 2023年9月   日本学術会議

    連携会員,分科会幹事(これからの未来社会と応用物理分科会)

  • 2012年4月 - 2020年3月   文部科学省 科学技術政策研究所

    専門調査員

外国人研究者等の受け入れ状況

  • National Research Centre, Egypt

    受入れ期間: 2023年4月 - 2025年3月  

    国籍:エジプト・アラブ共和国

学内運営に関わる各種委員・役職等

  • 2024年1月 - 2028年3月   全学 部局化学物質管理者

  • 2022年4月 - 2026年9月   学部 電気情報工学科(国際コース)クラス指導教員

  • 2020年4月 - 2023年3月   研究院 同窓会評議委員

  • 2019年2月 - 2025年3月   学部 電気情報工学科クラス指導教員

  • 2017年4月 - 2018年6月   研究院 同窓会評議委員

  • 2013年10月 - 2014年10月   学科 B過程活性化WG

  • 2013年4月 - 2017年3月   全学 男女共同参画室員

  • 2012年4月 - 2014年3月   全学 西部地区自然災害資料センター運営委員会

  • 2012年4月 - 2013年3月   地区 環境対策WGゾーン部会委員

  • 2011年11月 - 2014年8月   学部 オープンキャンパスWG委員

  • 2011年4月 - 2014年3月   全学 広報専門委員会

  • 2011年4月 - 2012年3月   地区 伊都地区協議会 地域連携WGゾーン部会

  • 2011年2月 - 2019年3月   学部 電気情報工学科クラス指導教員

  • 2010年8月 - 2028年3月   学部 工学部等放射線安全委員会委員

  • その他 量子コンピューティングシステム研究センター 副センター長

▼全件表示