Kyushu University Academic Staff Educational and Research Activities Database
List of Presentations
Masaharu Shiratani Last modified date:2024.04.25

Professor / Division of Electronic Devices / Department of Electronics / Faculty of Information Science and Electrical Engineering


Presentations
1. A. M. Nurut, S. Obinata, T. Okumura, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, N. Yamashita, Large-Scale Fabrication of Tm3 Fe5 O12 Film with Perpendicular Magnetic Anisotropy Using Magnetron Sputtering, ISPlasma, 2024.03.
2. Y. Wada, S. Zhiyuan, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of low resistive 30-nm-thick ZnO:Al films on seed layers grown via solid phase crystallization of fractionally crystallized ZnON films, ISPlasma, 2024.03.
3. T.Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, K. Koga, Nitrogen Fixation to Leaf Mold Using Air Plasma and Evaluation of Phenotype Response of Sugarcane to Nitrogen-Fixed Fertilizer, ISPlasma, 2024.03.
4. P. Attri, T. Okumura, K. Koga, K. Kamataki, M. Shiratani, Influence of CO and H2O2 in plasma agriculture, ISPlasma, 2024.03.
5. T. Okumura, H. Shi, P.Attri, D. Yamasita, K. Kamataki, N.Yamasita, N. Itagaki, K. Koga, M. Shiratan, Molecular structure analysis of DBD plasma irradiated DMPO by LC-MS, ISPlasma, 2024.03.
6. K. Koga, S. Ono, M. Eri, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani, Deposition of carbon nanoparticles fabricated by multi-hollow discharge plasma CVD on DC biased substrates, ISPlasma, 2024.03.
7. K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani, Predictions for High Quality Amorphous ITO(In2O3:Sn) Film Formation via Hybrid Machine Learning Model (Invited), ICTS, 2024.01.
8. T. Okumura, P. Attri, Y. Ishibashi, K.Koga, M. Shiratani, Induction of plant responses by plasma irradiation to seeds and their quantitative evaluation (Invited), ICTS, 2024.01.
9. S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Carbon Nanoparticle Interposed between Two Hydrogenated Amorphous Carbon Films on Surface Morphology of a-C:H Film, 第39回 九州・山口プラズマ研究会, 2023.12.
10. S. Toko, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara, Catalytic ability of Cu and Ni in methanation with plasma catalysis, MRM2023/IUMRS-ICA2023, 2023.12.
11. N. Itagaki, Z. Shen, Y. Wada, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Fabrication of ZnO Based Transparent Conducting Oxide as an Alternative to In2O3:Sn by Sputtering Combined with Solid Phase Crystallization, MRM2023/IUMRS-ICA2023, 2023.12.
12. K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani, Hybrid machine learning model prediction for high mobility amorphous ITO films fabricated by RF plasma sputtering, MRM2023/IUMRS-ICA2023, 2023.12.
13. K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Evaluation of Elastic Parameters of a-C:H Film with Carbon Nanoparticles Using Nanoindentation Method, MRM2023/IUMRS-ICA2023, 2023.12.
14. M. Shiratani, K. Kamataki, Analysis of Plasma Processes using Machine Learning and Quantum Computing, MRM2023/IUMRS-ICA2023, 2023.12.
15. S. W. Fitriani, M. Shiratani, A. Hatta, Surface Functionalization of Polytetrafluoroethylene using Atmospheric Pressure DBD Plasma of Nitrogen with Water-Ethanol Vapor, MRM2023/IUMRS-ICA2023, 2023.12.
16. M. N. Agusutrisno, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, N. Yamashita, Enhancement of The Coercivity and Blocking Temperature of Co doped ZnO films by RF sputtering Using Nitrogen, MRM2023/IUMRS-ICA2023, 2023.12.
17. P. Attri, T. Okumura, K. Koga, K. Kamataki, M. Shiratani , Effect of the plasma-generated reactive species on protein folding , 2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference, 2023.11.
18. H. Otsuyama, R. Mitsuishi, T. Yunoue, K. Yataka, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of thickness of 3D island buffer layer, 2023年(令和5年度)応用物理学会九州支部学術講演会 / The 8th Asian Applied Physics Conference, 2023.11.
19. M. Shiratani, Tuning Properties of Plasma Deposition Films in Unconventional Ways(Plenary), ICSE, 2023.11.
20. S. Ono, M. Eri, T. Okumura, K. Kunihiro, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Comparative study of deposition characteristics of different precursors for plasma CVD, DPS2023, 2023.11.
21. K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani, Combining machine learning of classification and regression models for predicting high quality amorphous ITO films fabricated by RF plasma sputtering, DPS2023, 2023.11.
22. K. Koga, T. Okumura, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, M. Shiratani, Quantitative Analysis of Electric Field Intensity Generated by Scalable Dielectric Barrier Discharge Electrodes for Irradiating to Plant Seeds, DPS2023, 2023.11.
23. P. Attri,T. Okumura, K. Koga, K. Kamataki, M. Shiratani, Plasma effect on the enzyme structure: Experimental and simulation studies, 第33回日本MRS年次大会, 2023.11.
24. 朽津和幸,坪山祥子,橋本貴史,橋本研志,奥村賢直,古閑一憲,白谷正治, 低温プラズマによる植物の成長制御の分子メカニズム: 植物における活性酸素種の生理的役割, 第33回日本MRS年次大会, 2023.11.
25. P. Attri, T. Okumura1, K. Koga, M. Shiratani, Catalase enzyme inhibition's effect on plasma medicine, AAPPS-DPP2023, 2023.11.
26. T. Okumura, P. Attri, Y. Ishibashi, K. Koga, M. Shiratani, Impact of plasma irradiation on plant seeds metabolism , AAPPS-DPP2023, 2023.11.
27. K. Kamataki, N. Itagaki, D. Yamashita, T. Okumura, N. Yamashita, K. Koga, M. Shiratani, Predictions for High Mobility Amorphous ITO(In2O3:Sn) Films via Hybrid Machine Learning Model, AAPPS-DPP2023, 2023.11.
28. N. Itagaki, Y. Wada, H. Yabuta, M. Shiratani , Fabrication of ZnO based transparent conducting oxides by sputtering combined with solid phase crystallization : a way to meet the future demand for transparent electrodes (Invited), AAPPS-DPP2023, 2023.11.
29. M. Shiratani, Quantitative Analysis of Plasma Irradiation Dose to Seeds (Invited), AEPSE 2023, 2023.11.
30. M. Shiratani, T. Sato, K. Kamataki, S. W. Fitriani,K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, Highly sensitive electric field vector measurements using an optically trapped fine particle , Global Plasma Forum in Aomori , 2023.10.
31. K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Evaluation of carbon nanoparticle adhesion on substrate surface deposited by plasma CVD , Global Plasma Forum in Aomori , 2023.10.
32. S. Ono, M. Eri, T. Okumura, K. Kamataki, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, Controlling the synthesis, transport, and surface coverage of carbon nanoparticles using plasma CVD , Global Plasma Forum in Aomori , 2023.10.
33. K. Koga, S. Ono, M. Eri, T. Okumura, K. Kamataki, N.Yamashita, N. Itagaki, M. Shiratani, Evaluation of Interaction Between Substrate and Nanoparticles Deposited by Plasma Chemical Vapor Deposition, GEC, 2023.10.
34. M. Shiratani, An on-site plasma system for producing nitrogen fertilizers to increase crop yields in an environmentally friendly manner (Invited), The 65th KVS Summer Annual Conference & IFFM 2023, 2023.08.
35. T. Okumura, S. Tsuboyama, Y. Tagawa, T. Nakao, T. Anan, H. Tanaka, K. Kamataki, N. Yamashita, N. Itagaki, P. Attri, K. Koga, M. Shiratani, K. Kuchitsu, Measurement of electric field, UV photons, and long-lifetime reactive species generated by atmospheric pressure air plasma for plasma bio applications, ICPIG2023, 2023.07.
36. J. Lai, T. Arima, M. Otaka, K. Ikeda, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Effects of lower discharge frequency on ion energy distribution function in dual frequency plasma studied by particle-in-cell/Monte Carlo method, ICPIG2023, 2023.07.
37. Y. Wada, Z. Shen, H. Yabuta, N. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive 30-nm-thick ZnO:Al films using ZnO seed layers grown via solid-phase crystallization, ICPIG2023, 2023.07.
38. R. Narishige, Y. Nakano, N. Yamashita, K. Kamataki, T. Okumura, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki, Pseudomorphic growth of (ZnO)x(InN)1-x films on ZnO substrates by magnetron sputtering using Ar/N2/O2 discharges, ICPIG2023, 2023.07.
39. T. Yunoue, K. Yataka, N. Yamashita, D. Yamashita, T. Okumura, K. Kamataki, H. Kiyama, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki, Sputter epitaxy of Zn1-xMgxO films on lattice-mismatched sapphire substrates utilizing ZnO(N)/MgO buffer layers fabricated by Ar/N2 and Ar/O2 discharges, ICPIG2023, 2023.07.
40. K. Ikeda, M. Otaka, H. Otomo, T. Arima, J. Lai, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo, Effects of Ne mixing on plasma enhanced chemical vapor deposition of a-C:H films using CH4/Ar/Ne capacitively coupled discharges, ICPIG2023, 2023.07.
41. Y. Yamamoto, I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Relation between Spatial Distribution of Optical Emission Intensity and SiO2 Film Property in TEOS-PECVD, ICPIG2023, 2023.07.
42. S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Deposition characteristics of cumene plasma CVD for high-speed deposition of high-density a-C:H films, ICPIG2023, 2023.07.
43. Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki, Sputter epitaxy of atomically flat (ZnO)x(InN)1-x films on sapphire substrates using ZnO(N) buffer layers fabricated by Ar/N2 discharges, ICPIG2023, 2023.07.
44. K. Koga, S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Coverage control of carbon nanoparticles on substrate using capacitively coupled plasma chemical vapor deposition, ICPIG2023, 2023.07.
45. K. Kamataki, T. Sato, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of particle charge and interparticle interaction in a plasma, ICPIG2023, 2023.07.
46. I. Nagao, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, H. Kiyama, N. Itagaki, K. Koga, M. Shiratani, Control of ion trajectory in high aspect ratio trenches by using amplitude modulated rf discharges, ICPIG2023, 2023.07.
47. T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Optical tweezers technique for electric field strength and fluctuation measurements in plasma using a fine particle, ICPIG2023, 2023.07.
48. M. Otaka, H. Otomo, K. Ikeda, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo, Effects of tailored voltage waveform discharges on deposition of hydrogenated amorphous carbon films by CH4/Ar capacitively coupled plasma, ICPIG2023, 2023.07.
49. M. Shiratani, H. Ohtomo, I. Nagao, K. Kamataki, Ion Trajectory Control in Processing Plasmas for Nano-Fabrication(keynote), Thermec'2023, 2023.07.
50. K. Koga, S. Ono, T. Okumura, M. Shiratani, Stress reduction of a-C:H films by nano-structuring of inter-layer of films deposited by plasma CVD (Invited), Thermec'2023, 2023.07.
51. M. Shiratani, Expanding plasma technologies for sustainable world(Plenary), E-MRS 2023 Spring Meeting, 2023.07.
52. M. Shiratani, Analysis of the Correlation between Seeds Dormancy and Plasma Irradiation Effects on Seeds(Invited), IWOPA4, 2023.06.
53. M. Shiratani, Plasma Agriculture: An Emerging Field (Invited), IWOPA4, 2023.06.
54. P. Attri, T. Okumura, K. Koga, N. Takeuchi, K. Kamataki, M. Shiratani, Plasma-induced CO2 conversion: Experimental and Computational study, ISPC25, 2023.05.
55. M. Shiratani, K. Ikeda, M. Otaka, S. Ono, T. Okumura, K. Koga, K. Kamataki, Effects of sputtering of a-C:H films on the chemical composition, ISPC25, 2023.05.
56. K. Kamataki, F. L. Chawarambwa, D. Yamashita, N. Yamashita, T.Okumura, N. Itagaki, K.Koga, M. Shiratani, Prediction of Plasma CVD Process Data of a-Si:H Films via Machine Learning, ISPC25, 2023.05.
57. M. Shiratani, Tuning Mechanical Properties of Plasma CVD a-C:H films using Carbon Nanoparticles(Invited), ICDDPS-4, 2023.04.
58. 山下尚人, A. Agustrisno, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, C. Marrows, Non-off Axis Sputtering Deposition of Ferrimagnetic Insulator Film with Perpendicular Magnetic Anisotropy, 第70回応用物理学会 春季学術講演会, 2023.03.
59. A. Khalil, T. Okumura, P. Attri, K. Kamataki, K. Koga, M. Shiratani, Molecular Structure Change in Phenol by Gas-Liquid Discharge Plasma, ISPlasma2023/IC-PLANTS2023, 2023.03.
60. M. Shiratani, Tuning Deposition Profiles and Stress of Plasma CVD Carbon Films (Award Commemorative Speech), ISPlasma2023/IC-PLANTS2023, 2023.03.
61. M. Morimoto, M. Matsui, K. Koga, M. Shiratani, Effects of Pulsed Microwave ECR Plasma on Si3N4 Etching, ISPlasma2023/IC-PLANTS2023, 2023.03.
62. P. Attri, T. Okumura, Y. Ishibashi, K. Koga, M. Shiratani, Contribution of non-thermal plasma in agriculture: Focus on pre-harvest treatment, SAPP XXIV, 2023.01.
63. F. L. Chawarambwa, M. Shiratani, E. T. Putri, Enhancing the Performance of Quasi-Solid Dye-Sensitized Tandem Solar cells Under Low-Concentrated Light, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
64. T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Performance characteristics of bifacial dye-sensitized solar cells with a v-shape low concentrating light system, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
65. Z. Shen, Y. Mido, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani1, H. Yabuta, N. Itagaki, A Study of Solid-phase Crystallization of Amorphous ZnON Films, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
66. K. Kamataki, Y. Mido, I. Nagao, D. Yamashita, T. Okumura, N.Yamashita, K. Koga, N. Itagaki, M. Shiratani, Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
67. T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Effects of lower discharge frequency on plasma parameters in capacitively coupled plasmas studied by particle-in-cell/Monte Carlo collision method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
68. N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Microscopic analysis of single crystalline Zn1-xMgxO thin films on sapphire grown via inverted Stranski-Krastanov mode, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
69. R. Mitsuishi, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of RF powers on the crystal quality and surface morphology of single crystalline ZnO films deposited by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
70. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of thermal treatment of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
71. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Masaharu, Effects of Gas Pressure on Deposition Characteristics of Hydrogenated Amorphous Carbon Films Produced by Ar + CH4 Capacitively Coupled Plasma, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
72. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation Discharge on Ion Energy and Angular Distributions studied by PIC-MCC method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
73. A. Yamamoto, I. Nagao, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fluctuation of plasma and amount of nanoparticles in TEOS amplitude modulated rf discharge, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
74. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar and Ar/Ne capacitively coupled radio frequency plasma., 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
75. K.Yataka, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline Zn1-xMgxO films on sapphire substrate via inverted Staranski-Krastanov mode: effects of substrate , 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
76. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. 0kumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani, Structural Characteristics of Carbon Sphere Composite Deposited by Ar+CH4 Plasma Chemical Vapor Deposition Method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
77. T. Hasegawa, M. Ideguchi, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani, The effect of plasma off time on CO2 methanation using pulsed discharge plasma, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
78. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Measurement of fluctuation of electric field in Ar plasmas using a fine particle trapped with laser tweezers, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
79. 白谷正治, Plasma deposition of nanostructure tuning films (Invited), 15th International Conference on Plasma Science and Applications, 2022.12.
80. K. Koga, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Kuchitsu, K. Ishikawa, M. Hori, Influence of Seed Dormancy on Plasma Irradiation Effects on their Germination, 第32回日本MRS年次大会, 2022.12.
81. T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on biomolecules extracted from plasma-irradiated plant seeds using quadrupole mass spectrometer, 第32回日本MRS年次大会, 2022.12.
82. T. Anan, T. Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma Irradiation-induced Molecular Modification of Quercetin as Plant Antioxidant, 第32回日本MRS年次大会, 2022.12.
83. S. Yamamoto, T. Nakao, T. Anan, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Yanagawa, S. Shimada, Improvement of Germination Characteristics of Sorghum bicolor Seeds Irradiated by Surface Discharge Plasma, 第32回日本MRS年次大会, 2022.12.
84. K. Ikeda, M. Otaka, T. Arima, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Discharge frequency dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, 第32回日本MRS年次大会, 2022.12.
85. K. Kamataki, T. Sato, K. Tomita, Y. Pan, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurement of the charge on single fine particles in plasma, 第32回日本MRS年次大会, 2022.12.
86. J. Lai, T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of dual frequency method in capacitively coupled plasmas using particle-in-cell/Monte Carlo method, 第32回日本MRS年次大会, 2022.12.
87. Y. Yamamoto, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulated Discharge on SiO2 film qualities in TEOS Plasma CVD, 第32回日本MRS年次大会, 2022.12.
88. T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani, Effect of water absorbent materials on CO2 methanation using plasma catalyst, 第32回日本MRS年次大会, 2022.12.
89. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani , Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition, 第32回日本MRS年次大会, 2022.12.
90. M. Shiratani, K. Kamataki, K. Koga, Issues of Advanced EUV Lithography, 第32回日本MRS年次大会, 2022.12.
91. P. Attri, T. Okumura, T.E. Putri, F. L. Chawarambwa, K. Koga, K. Kamataki, M. Shiratani , Role of reactive nitrogen species on the radish seeds, 第32回日本MRS年次大会, 2022.12.
92. K. Kamataki, T. Sato, k. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Electric fields measurement using an optically trapped charged particle in Ar plasma (Invited), 第32回日本MRS年次大会, 2022.12.
93. F. L. Chawarambwa, M. Shiratani, K. Kamataki, N. Itagaki, Predictive Analytics in Impurity Mediated Amorphization Plasma Sputtering Process using Machine Learning tools and Techniques, 第32回日本MRS年次大会, 2022.12.
94. N. Yamashita, R. Mitsuishi, Y. Nakamura, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Mechanism of homogeneous nucleation of ZnO in N2 and Ar plasma, 第32回日本MRS年次大会, 2022.12.
95. M. Shiratani, Plasma Agriculture: An Emerging Field (Invited), ICPP 2022, 2022.11.
96. Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki, Sputter epitaxy of (ZnO)x(InN)1-x films on sapphire substrates using ZnON buffer layers, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
97. Z. Shen, H. Yabuta, Y. Wada, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Kiyama, N. Itagaki, Sputtering deposition of ZnO:Al Transparent Conducting Films on Seed Layers Crystallized via Thermal Annealing of Amorphous ZnON Films, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
98. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions, DPS2022, 2022.11.
99. T. Anan, T. Nakao, T. Okumura, P. Attri, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics, DPS2022, 2022.11.
100. T. E. Putri, F. L. Chawarambwa, P. Attri, K. Kamataki, N. ltagaki, K. Koga, M. Shiratani, Enhancing output power of polymer electrolytes DSSCs with V-shape low­concentrated light systems, PVSEC-33, 2022.11.
101. M. Shiratani, A Plasma Enhanced CVD Technology for Solving Issues on Sidewall Deposition in Trenches and Holes, iMPACT2022, 2022.10.
102. T.Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N.Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of electric field measurements in Ar plasmas using an optically trapped fine particle, AAPPS-DPP 2022, 2022.10.
103. T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma irradiation-introduced RONS amount into plant seeds and their response analysis, ICRP-11/GCE2022, 2022.10.
104. S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation, ICRP-11/GCE2022, 2022.10.
105. M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki, Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode, ICRP-11/GCE2022, 2022.10.
106. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi, Plasma induced conversion of CO2 with water to useful compounds, ICRP-11/GCE2022, 2022.10.
107. T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy, ICRP-11/GCE2022, 2022.10.
108. M. Shiratani, T. Anan, T. Nakao, T. Okumura, P. Attri, K. Koga, Reproducibility in plasma agriculture, ICRP-11/GCE2022, 2022.10.
109. M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, ICRP-11/GCE2022, 2022.10.
110. A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, The role of post-annealing treatment on ZnO:Co structure and magnetic transition grown by sputtering deposition, ICIEE 2022, 2022.10.
111. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model, ICRP-11/GCE2022, 2022.10.
112. S. Toko, T. Hasegawa, T. Okumura, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara, Relationship between vibrational temperature and CO2 methanation with plasma catalysis, ICRP-11/GCE2022, 2022.10.
113. K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(Invited), ICRP-11/GCE2022, 2022.10.
114. K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth, ICRP-11/GCE2022, 2022.10.
115. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani, Role of plasma treatment on radish seeds affects germination, morphology, and biochemical responses, 第83回応用物理学会秋季学術講演会, 2022.09.
116. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of amplitude modulated discharge on suppression of nanoparticle growth in TEOS/O2/Ar capacitively coupled plasma, IVC-22, 2022.09.
117. S. Ono, S. H. Hwang, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Eff ects of Modifi cation of Film Interface by Nanoparticles on Mechanical Properties of Films, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
118. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Eff ects of Amplitude Modulated RF Discharge on Properties of SiO2 Films Deposited by TEOS/O2/Ar Plasma-Enhanced Chemical Vapor Deposition, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
119. N. Itagaki, Y. Mido, Z. Shen, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Low-Resistive Amorphous In2O3 :Sn Films at 600°C by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
120. A. M. Nurut, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Control of Crystal Structure and Magnetic Properties of ZnO: Co Films Grown by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
121. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of surface polarity of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated at room temperature, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
122. M. Shiratani, T. Sato, K. Kamataki, Electric Field Vector Deduced from Balance of Forces Exerted on a Fine Particle Immersed in Discharge Plasma(Invited), iPlasmaNano-XI 2022, 2022.09.
123. M. Shiratani, H. Tanaka, T. Anan, T. Nakao, Y. Tagawa, T. Okumura, P. Attri, K. Koga, Particle Fluxes to Seeds Irradiated from Scalable DBD Plasma, ISNTP-12&ISEHD 2022, 2022.08.
124. A. M. Nurut, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, N. Itagaki, M. Shiratani, Magnetic properties of Co-doped ZnO films fabricated by sputtering using mediated crystallization., IUMRS-ICYRAM 2022, 2022.08.
125. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Ion energy and angular distribution functions in amplitude modulated capacitively coupled Ar discharge plasma obtained using particle-in-cell Monte Carlo collision model simulation, IUMRS-ICYRAM 2022, 2022.08.
126. M. Otaka, D. Nagamatsu1, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar/Ne capacitively coupled radio frequency plasma, IUMRS-ICYRAM 2022, 2022.08.
127. T. Arima, M.Otaka, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Spatio-temporal structures of plasma density in lower driving rf frequency plasmas studied by particle-in-cell/Monte Carlo collision method, IUMRS-ICYRAM 2022, 2022.08.
128. T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Thermoplastic Polymer Electrolytes for DSSCs Performance Under V-Shape Low-Concentrated Light, IUMRS-ICYRAM 2022, 2022.08.
129. A. Pankaj, T. Okumura, F. L. Chawarambwa, T. E. Putri, N. Takeuti, K. Koga, K. Ishikawa, K. Kamataki, M. Shiratani, Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds, IUMRS-ICYRAM 2022, 2022.08.
130. F. L. Chawarambwa, T. E. Putri, A. Pankaj, M. Shiratani, Stability of a quasi-solid DSSC under low-concentrated light soaking, IUMRS-ICYRAM 2022, 2022.08.
131. N. Yamashita, A. Agusutrisno, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Increase of Blocking Temperature in Co-doped ZnO by Using NitrogenMediated Crystallization, ICMFS-2022, 2022.07.
132. K. Kamataki, S. Okunaga,T. Sato,K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Development of Measurement of Two-Dimensional Distribution of Strength of Electrical Field with High Spatial Resolution Using Optical Trapped Particle in Plasma, MRS spring meeting 2022, 2022.05.
133. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N.Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
134. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M.Shiratani, Growth of Nanoparticles in TEOS rf Plasma with Amplitude Modulation, MRS spring meeting 2022, 2022.05.
135. N. Yamashita, Y. Nakamura, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Key parameters for single crystalline ZnO film growth by magnetron sputtering via inverted Stranski-Krastanov mode, MRS spring meeting 2022, 2022.05.
136. T. Okumura , K. Koga , P. Attri , K. Kamataki , N. Yamashita , N. Itagaki, M. Shiratani, Quantitative Evaluation through LC-QqQ MS/MS for RONS Induced into Dry Seeds by Non-Thermal Plasma Irradiation, MRS spring meeting 2022, 2022.05.
137. M. shiratani, Identification of key plasma process parameters using support vector machine(Invited), Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies, 2022.05.
138. R. Mitsuishi, N. Yamashita, D.Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Single-Crystalline ZnO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode by Low-Power Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
139. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, N. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani, Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
140. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time Resolved Optical Emission Spectroscopy in Ar and Ar/Ne Capacitively Coupled Radio Frequency Plasma, MRS spring meeting 2022, 2022.05.
141. T. Arima , T. Yang, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effects of rf Frequency on Plasma Density in Capacitively Coupled Plasmas at Low Pressure Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
142. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, MRS spring meeting 2022, 2022.05.
143. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Amplitude Modulation Frequency Dependence of Ion Energy Distribution in Capacitively Coupled Discharge Plasma Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
144. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani, Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
145. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Deposition of Carbon Nanoparticles of 5 nm in Size on Positively Biased Substrates using Multi-Hollow Discharge Plasma CVD Method, ISPlasma2022/IC-PLANTS2022, 2022.03.
146. H. Tanaka, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Itagaki, M. Shiratani, Y. Ishibashi, M. Nakano, K. Namiki, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, K. Koga, Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds, ISPlasma2022/IC-PLANTS2022, 2022.03.
147. H. Ikenoue, D. Nakamura, K. Katayama, A. Mizutani, H. Mizoguchi, M. Shiratani, Development of DUV laser material processing for semiconductor device, Laser Applications in Microelectronic and Optoelectronic Manufacturing (LAMOM) XXVII, 2022.02.
148. H. Mizoguchi, H. Nakarai, K. Kakizaki, H. Ikenoue, M. Shiratani, Progress of DUV・EUV Light Source and its Extension to Leading Edge Semiconductor Manufacturing, 第8回グローバルプラズマフォーラム「半導体プロセス技術最前線」, 2022.02.
149. Z. Shen, N. Yamashita, Y. Mido, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki , Solid-phase Crystallization of Sputter-deposited ZnON Films and Their Impacts as Seed Layers for ZnO:Al Transparent Conducting Oxides, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
150. M. Shiratani, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga , N2/SiH4 flow rate ratio dependence of nanoparticle incorporation in SiNx films deposited by plasma CVD, Materials Research Meeting 2021 (MRM2021), 2021.12.
151. F. Chen, K. Kamataki, Y. Tao, S. Okunaga, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Key Process Parameters of Plasma CVD for Fabricating a-Si:H Solar Cells through Boosting Technique, Materials Research Meeting 2021 (MRM2021), 2021.12.
152. K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, P. Attri, Possible plasma oxidation effect on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2): A computational study, Materials Research Meeting 2021 (MRM2021), 2021.12.
153. Y.Tao, D. Nagamatsu, K. Kamataki, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of Radial and Vertical Electric Field in Capacitively Coupled Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
154. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Structural analysis of carbon nanoparticle composite films prepared by Ar+CH4 multi-hollow plasma chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
155. S. Okunaga, T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N.Itagaki, K. Koga, M. Shiratani, Calibration of force acting on an optically trapped particle in Ar plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
156. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
157. K. Kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Investigation of 2D electric field measurements in Ar plasmas using a fine particle trapped with laser tweezers, Materials Research Meeting 2021 (MRM2021), 2021.12.
158. K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Ion Energy Distribution Function in DC Pulse biased Capacitively Coupled Plasma Discharge by using Particle-In-Cell/Monte Carlo Collision Model, Materials Research Meeting 2021 (MRM2021), 2021.12.
159. T. Okumura, T. Anan, H. Tanaka, D. Yamashita, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Y. Fujimoto, M. Kumauchi, H. Matsui, Effect of intraseed moisture and plasma irradiation on lettuce seed surface, Materials Research Meeting 2021 (MRM2021), 2021.12.
160. P. Attri, K. Koga, T. Okumura, N. Takeuchi, M. Shiratani, Green route for ammonium nitrate synthesis: Fertilizer for plant growth enhancement, Materials Research Meeting 2021 (MRM2021), 2021.12.
161. M. Ideguchi, T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse rf discharge on carbon dioxide methanation in plasma catalytic method, Materials Research Meeting 2021 (MRM2021), 2021.12.
162. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani , Structural Analysis of Hydrogenated Amorphous Carbon Films Deposited by Capacitively Coupled Plasma Chemical Vapor Deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
163. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effect of rf bias on the film property of amorphous silicon oxide films deposited by plasma enhanced chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
164. T. Hasegawa, M. Ideguchi, D. Yamashita, S. Toko, K. Kamataki, K. Koga, M. Shiratani, Kinetic study of effects of discharge power on CO2 methanation using a plasma catalyst, Materials Research Meeting 2021 (MRM2021), 2021.12.
165. L. F. Chawarambwa, K. Koga, K. Kamataki, M. Shiratani, Improved luminescence performance of Yb3+-Er3+-Zn2+: Y2O3 nanophosphor and its application to Solar Cells, 第31回日本MRS年次大会, 2021.12.
166. P.Attri, K. Koga, T. Okumura, M. Shiratani, Plasma treatment causes structural modifications in proteins, result in increased cytotoxicity towards cancer cells, 第31回日本MRS年次大会, 2021.12.
167. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of Growth Suppression Mechanism of Nanoparticles through Amplitude Modulation Discharge Method in TEOS Plasma, the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12), 2021.12.
168. P. Attri, T. Okumura, K. Koga, M. Shiratani, Oxidation of Catalase by Plasma Treatment: A Probable Mechanism of Cancer Treatment, the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12), 2021.12.
169. T. E. Putri, L. F. Chawarambwa, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Flowing Electrolyte System of Bifacial Dye-Sensitized Solar Cells Under Low-Concentrated Light, MRS fall meeting 2021, 2021.12.
170. D. Takahashi, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Inverted Stranski-Krastanov Growth of Single-Crystalline Zn Mg O Films on Sapphire Substrates using Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
171. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
172. Y. Mido, K. Takeda, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, M. Hori, N. Itagaki, High-temperature Growth of Amorphous In O :Sn Films by Magnetron Sputtering using Nitrogen, MRS fall meeting 2021, 2021.12.
173. T. Anan, H. Tanaka,T. Okumura, P. Attri,D. Yamashita,K. Kamataki,K. Koga, N. Itagaki, M. Shiratani, Y.Ishibashi, Effects of Plasma Irradiation to Moistened Seeds on Radical Amount, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
174. R. Mitsuishi, D. Takahashi, D. Yamashita, T. Okumura, N. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of RF power, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
175. D. Nagamatsu, T. Arima, M. Otaka, H. Otomo, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, T. Shindo, S. Tanaka, T. Matsudo, Deposition of a-C:H films using tailored voltage waveforms in plasma enhanced CVD, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
176. M. Shiratani, K. Koga, Restoring germination rate of heat-stressed seeds by low temperature plasma treatment, Japan-RUB Workshop, 2021.12.
177. S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Low-stress diamond-like carbon films containing carbon nanoparticles produced by combining rf sputtering and plasma chemical vapor deposition, Japan-RUB Workshop, 2021.11.
178. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, S. Tahara, Y. Mizokami, Y. Miyata, K. Tabuchi, T. Tanikuni, S. Hiyama, K. Nagahata, Better step coverage of TEOS-PECVD SiO2 films realized by amplitude modulation of RF discharge voltage, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
179. S. Toko, M. Ideguchi, T. Hasegawa, K. Kamataki, K. Takenaka, K. Koga, M. Shiratani, Y. Setsuhara, Energy utilization efficiency in CO2 methanation with plasma catalysis, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
180. M. Shiratani, K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, Study of effect of amplitude modulated discharge on growth of nanoparticles in TEOS plasma, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
181. S. H. Hwang, S. Ono, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, J.-S. Oh, S. Takabayashi, T. Nakatani, Reduction of compressive stress of hydrogenated amorphous carbon films by inserting carbon nanoparticle layer using plasma CVD, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
182. K. Koga, Y. Ishibashi, C. Suriyasak, T. Okumura, H. Tanaka, P. Attri, K. Matsuo, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, Molecular Analysis of Plasma-Induced Germination Improvement of Rice Seeds With High-Temperature Stress Damage, AVS67, 2021.10.
183. K. Koga, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani, Transport of Nanoparticles in Afterglow Region Using Multi-Hollow Discharge Plasma CVD, 74th Annual Gaseous Electronics Conference, 2021.10.
184. P. Attri,H. Kurita, T. Okumura, K. Koga, M. Shiratani, Effect of plasma treatment on MDM2 and p53 expression in cancer cells, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
185. K. Abe, A.Yamamoto, I.Nagao, M.Otaka, D.Yamashita, K.Kamataki, T.Okumura, N.Itagaki, K.Koga, M.Shiratani, Suppression of nanoparticle growth in TEOS plasma by amplitude modulation discharge method, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
186. K. kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Study on measurement of strength and fluctuation of electrical field using optical trapped particle in Ar plasma, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
187. M. Shiratani, Dusty Plasma: Scientific and Technological Impacts(Invited), 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
188. S. Okunaga, K. Kamataki, K. Tomita, Y. Pan, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, 2D electric field measurements in Ar plasmas using a fine particle trapped with optical tweezers, Plathinium 2021 , 2021.09.
189. M. Shiratani, Novel methods for tuning film properties using nanostructures(Invited), Plathinium 2021, 2021.09.
190. R. Narishige, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of (ZnO)X(InN)1-X films by magnetron sputtering: effects of surface polarity of ZnO substrates, Plathinium 2021 , 2021.09.
191. M. Ideguchi, T. Hasegawa, K. Kamataki, S. Toko, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Relation between CH4 yield and CO emission intensity gradient in plasma-assisted CO2 methanation, Plathinium 2021 , 2021.09.
192. P. Attri, T. Okumura. K. Koga, M. Shiratani, Cold Plasma induced structural modification of NADPH oxidase activator (Noxa 1) by oxidative stress, 第82回応用物理学会秋季学術講演会, 2021.09.
193. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Effects of Carbon Nanoparticles Inserted between Two Diamond Like Carbon Layers Films on Residual Stress of Films Deposited by Plasma Chemical Vapor Deposition, INTERFINISH 2020, 2021.09.
194. K. Koga, S. H. Hwang, T. Okumura, P. Attri, K. Kamataki, N. Itagaki, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani, Production of Hollow Carbon Nanoparticles using Multi-Hollow Discharge Plasma CVD, INTERFINISH 2020, 2021.09.
195. P.Attri, T. Okumura, K. Koga, M. Shiratani, Comparative study on the decontamination of water using non-thermal atmospheric pressure plasma and gamma irradiation, APA Bioforum2021: Polymeric Biomaterials & Bioengineering, 2021.08.
196. P. Attri, K. Koga, A. Bogaerts, W. Lee, M. Shiratani, Influence of Cold Atmospheric Plasma on NADPH Oxidase 1 (NOX1) Enzyme and Membrane Protein Structures: A Combined Experimental and Computational Study, 8th International Conference on Plasma Medicine(icpm), 2021.08.
197. M. Shiratani, F. L. Chawarambwa, T. E. Putri, K. Koga, K. Kamataki, M. Son, H. Seo, Ambient radiant energy source for powering IoT devices(Invited), Thermec2021, 2021.06.
198. K. Koga, S. H. Hwang, K. Kamataki, P. Attri, N. Itagaki, M. Shiratani, Structure control ofa:C-H film nanocomposite using a combination of rf sputtering and plasma CVD, Thermec2021, 2021.06.
199. K.Koga‚ S. H. Hwang‚ K. Kamataki‚ N. Itagaki‚ M. Shiratani, High Throughput Deposition of Hydrogenated Amorphous Carbon Films using High-Pressure Ar+CH4 Plasmas, ICMCTF2021, 2021.04.
200. M. Shiratani, Sputtering Growth of Metal Oxynitride Semiconductors for Excitonic Devices(Invited), EDTM2021, 2021.04.
201. F. L. Chawarambwa, P. Attri, K. Koga, M. Shiratani, Effects of Concentrated Light on the Performance and Stability of Quasi-Solid Electrolytes in Dye-Sensitized Solar Cells, ICMAP 2020 & ISFM 2020, 2021.01.
202. S. Urakawa, K. Kaneshima, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. shiratani, N. Itagaki, Sputter deposition of ZnAlO films with tunable bandgaps from 3.4 to 6.1 eV, ICMAP 2020 & ISFM 2020, 2021.01.
203. K. Kaneshima, S. Urakawa, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Growth of high-quality (ZnO)x(InN)1-x films by RF magnetron sputtering using a two-step growth process, ICMAP 2020 & ISFM 2020, 2021.01.
204. Y. Nakamura, M. Kikuchi, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagak, Impact of surface morphologies of 3D island layers on the single crystal growth of magnetron sputtered ZnO films, ICMAP 2020 & ISFM 2020, 2021.01.
205. M. Shiratani, P.Attri, T. Okumura, K. Koga, Applications of Low Temperature Plasma to Agriculture in Preharvest Stage (Invited), ICMAP 2020 & ISFM 2020, 2021.01.
206. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Structural and Optical Properties of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, ICMAP 2020 & ISFM 2020, 2021.01.
207. Y. Mido, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen mediate amorphization method: Effects of nitrogen flow rate, ICMAP 2020 & ISFM 2020, 2021.01.
208. R. Narishige, K. Kaneshima, S. Urakawa, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki , Effects of surface polarity of ZnO substrates on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films, ICMAP 2020 & ISFM 2020, 2021.01.
209. Y. Sasaki, T. Yoshida, K. Abe, K. Yamamoto, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of SiO2 films using capacitively coupled TEOS plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
210. K. Kamataki, T. Yoshida, Y. Sasaki, K. Abe, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Film Deposition Process using Spatial Profiles of Plasma Parameters and Nanoparticles in Reactive Plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
211. P. Attri, K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, Impact of Plasma Treatment on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2), 第30回日本MRS年次大会, 2020.12.
212. T. Okumura, H. Tanaka, R. Arita, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth of Rice Cultivated in Field from Plasma-irradiated Seeds and Its Health Assessment for rats, 第30回日本MRS年次大会, 2020.12.
213. K. Koga, P. Attri, T. Anan, R. Arita, H. Tanaka, T. Okumura, D. Yamashita, K. Matsuo, K. Kanataki, N. Itagaki, M. Shiratani, Y. Ishibashi, Impact of Plasma Treatment Time on the Barley Seeds using Electron Paramagnetic Resonance, 第30回日本MRS年次大会, 2020.12.
214. H. Tanaka, R. Kogawa, Y. Oba, M. Fujita, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kamataki, N. Itagaki, Nitric Acid Generation by Pulsed Needle-water Discharge Plasma, 第30回日本MRS年次大会, 2020.12.
215. T. Kawasaki, K. Koga, M. Shiratani, Experimental identification of key species for ROS transportation in the depth direction by plasma irradiation, 第30回日本MRS年次大会, 2020.12.
216. Y. Midou, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
217. F. L. Chawarambwa, K. Kamataki , K. Koga , M. Shiratani , Development of Highly Efficient and Stable Quasi-Solid Electrolytes for Dye-Sensitized solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
218. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita,T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Bandgap Tuning of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
219. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki,T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of substrate surface polarity on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-xfilms, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
220. T. E. Putri, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Concave Concentrator and Plane Reflector Mirror to Increase Efficiency of Bifacial Dye-Sensitized Solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
221. M. Shiratani, S. Toko, S. Samukawa, Clarifying Interaction Mechanisms between Plasma and Catalysts by Using Neutral Beam, Seventeenth International Conference on Flow Dynamics, 2020.10.
222. K. Kamataki, S. Okunaga, K. Tomita, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Information on electric field deduced using a fine particle trapped with laser tweezers in Ar plasma, The 73rd Annual Gaseous Electronics Conference, 2020.10.
223. K. Koga, P. Attri, K. Ishikawa, T. Okumura, K. Matsuo, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Role of seed coat color and harvest year on growth enhancement by plasma irradiation to seeds, The 73rd Annual Gaseous Electronics Conference, 2020.10.
224. 白谷正治, Materials processing with low pressure plasma:present issues and possible solutions (Invited), SPIG2020, 2020.08.
225. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of substrates on the epitaxial growth of (ZnO)x(InN)1-x films , ISPlasma2020/IC-PLANTS2020, 2020.03.
226. 白谷正治, ESR Measurements of Plasma Irradiated Seed (Invited), the 1st international symposium on applied plasma science and engineering for agro and bio applications, 2020.01.
227. K. Kamataki, Y. Sasaki, S. Nagaishi, T. Yoshida, K. Abe, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of passivation films by plasma enhanced CVD, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
228. M. Shiratani, M. Ideguchi, A. Yamamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Methane production for energy storage using low temperature plasma (Invited), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
229. F. L. Chawarambwa, T. E. Putri, Y. Hao, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si3N4/Carbon based PEDOT:PSS Counter Electrode for low-cost Dye-Sensitized Solar Cells, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
230. T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
231. M. Shiratani, R. Iwamoto, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, Measurements of Electrostatic Potential in RF Ar Plasma Using an Optically Trapped Fine Particle, 20th Workshop on Fine Particle Plasmas, 2019.12.
232. R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Tuning Parameters of Indium Tin Oxide Sputter Processing via Bayesian Optimization, 20th Workshop on Fine Particle Plasmas, 2019.12.
233. S. Nagaishi, Y. Sasaki, K. Kamataki, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of high nitriding and low hydrogen content SiN films at 100°C by controlling cluster formation in plasma, 20th Workshop on Fine Particle Plasmas, 2019.12.
234. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
235. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, ZnO Based Semiconductors for Excitonic Devices (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
236. M. Shiratani, S. Tanida, S. Toko, A. Yamamoto, K. Koga, Apparent Activation Energy of Sabatier Reaction in Low Pressure Plasma-Catalyst Gas Conversion, Materials Research Meeting 2019 (MRM2019), 2019.12.
237. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pulse Bias Voltage on Characteristics of a-C:H Film Deposited by High Pressure Ar+CH4 Plasma CVD Process, Materials Research Meeting 2019 (MRM2019), 2019.12.
238. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of higher-order silane molecules on Si-H2 bond and Si-H bond densities in a-Si:H films, Materials Research Meeting 2019 (MRM2019), 2019.12.
239. K. Kamataki, R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Amorphization Condition Models from Sputter Deposition Experimental Results by Machine Learning Method, Materials Research Meeting 2019 (MRM2019), 2019.12.
240. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Impact of Plasma Fluctuation Driven by Amplitude Modulated VHF Discharge on Growth of Nanoparticles in Reactive Plasma (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
241. Field Test of Cultivation of Plasma Irradiated Rice Seeds.
242. Correlation between CO emission intensity and CO2 methanation yield using low pressure and low temperature plasma and catalyst.
243. Search for deposition conditions for high mobility amorphous ITO / In2O3 by Bayesian optimization.
244. Suppression of high-density region of Si-H2 in a-Si:H films by Multi-hollow discharge plasma CVD method at high gas velocity.
245. The effects of Substrate temperature on (ZnO)x(InN)1-x Fabricated by Sputter Epitaxy
.
246. Growth of single crystal ZnO films on sapphire substrates by sputter epitaxy.
247. Fabrication of low hydrogen content SiN film at low substrate temperature by control of cluster formation in reactive plasma
.
248. S. Urakawa, K. Kaneshima, D. Yamashita, K. Kamataki, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide-gap amorphous ZnAlON
films with tunable bandgaps from 3.4 to 4.2 eV, 第36回プラズマ・核融合学会年会, 2019.11.
249. Fabrication of amorphous In2O3:Sn films with low resistivity via impurity mediated amorphization: Effects of substrates temperature.
250. Precision control of growth of nanoparticle in reactive plasma.
251. K. Koga, M. Shiratani, V. Mildaziene, Metabolomics Approach for Studying Effects of Atmospheric Air Plasma Irradiation to Seeds (Keynote), 29th Annual Meeting of MRS-J, 2019.11.
252. F. L. Chawarambwa, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fabrication of dye sensitized solar cells with up and down conversion nano-particles, 29th Annual Meeting of MRS-J, 2019.11.
253. R. Sato, M. Hiromatsu, K. Matsuo, T. Yoshida, R. Arita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Irradiation to Seeds of Lactuca Sativa on Histogram of Fresh Weight of Their Seedling, 29th Annual Meeting of MRS-J, 2019.11.
254. A. Tanaka, K. Koga, M. Shiratani, Health Effects of Rice Harvested from Plasma-Irradiated Rice Seeds Administered Orally in Mice, 29th Annual Meeting of MRS-J, 2019.11.
255. P. Attri, A. Bogaerts, K. Koga, M. Shiratani, Influence of co-solvents on protein folding during plasma treatment (Invited), 29th Annual Meeting of MRS-J, 2019.11.
256. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate position dependence of characteristics of a-C:H films fabricated by Ar+CH4 plasma, The 4th Asian Applied Physics Conference, 2019.11.
257. R. Narishige, N. Miyahara, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x films for Excitonic Transistors, The 4th Asian Applied Physics Conference, 2019.11.
258. K. Kaneshima, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate temperature on Crystal Quality of (ZnO)x(InN)1-x Films Fabricated by Sputter Epitaxy, The 4th Asian Applied Physics Conference, 2019.11.
259. Y. Nakamura, S. Muraoka, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Surface morphology of single-crystal ZnO films on sapphire substrates fabricated by sputter epitaxy *Yuta Nakamura, Soichiro Muraoka, Kunihiro K, The 4th Asian Applied Physics Conference, 2019.11.
260. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of amorphous ZnAlON films with tunable bandgap, The 4th Asian Applied Physics Conference, 2019.11.
261. R. Iwamoto, S. Okunaga, K. Kamataki, H. Hara, K. Koga, M. Shiratani, Machine Learning Analysis for Prediction of Key Plasma Process Parameters, The 4th Asian Applied Physics Conference, 2019.11.
262. F. L. Chawarambwa, K. Kamataki, K. Koga, M. Shiratani, Development of Carbon Based Counter Electrodes for Dye Sensitized Solar Cells, The 4th Asian Applied Physics Conference, 2019.11.
263. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD , 41st International Symposium on Dry Process (DPS2019), 2019.11.
264. S. Muraoka, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, 41st International Symposium on Dry Process (DPS2019), 2019.11.
265. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of High Nitriding Degree of SiN films by Multi-Hollow Discharge SiH4+N2 Plasma CVD, 41st International Symposium on Dry Process (DPS2019), 2019.11.
266. Y. Hao, H. Hara, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pressure on Characteristics of a-Si:H Films Desosited using Multi-Hollow Discharge Plasma CVD, 3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019), 2019.11.
267. K. Koga, M. Shiratani, Impact of Atmospheric Pressure Plasma Irradiation to Seeds on Agricultural Productivity, 3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019), 2019.11.
268. K. Tomita, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Development of a Sensitive Electric Field Probe in Ar plasmas using Optically Trapped Fine Particles, 72nd Gaseous Electronics Conference, 2019.10.
269. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Discharge Power on Deposition Cutoff of Carbon Nanoparticles Synthesized by High Pressure Ar+CH4 Multi-hollow Discharge Plasma CVD, 72nd Gaseous Electronics Conference, 2019.10.
270. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Spatial inhomogeneous distribution of high Si-H2 bond density in a-Si:H films deposited by MHPCVD, 72nd Gaseous Electronics Conference, 2019.10.
271. A. Yamamoto, M. Ideguchi, S. Toko, K. Koga, M. Shiratani, Optical emission spectroscopy of plasma-catalytic CO2 methanation, 72nd Gaseous Electronics Conference, 2019.10.
272. K. Koga, A. Yamamoto, K. Kamataki, N. Itagaki, M. Shiratani, Rate Limiting Factors of Low Pressure Plasma-catalytic CO2 Methanation Process, AVS 66th International Symposium & Exhibition, 2019.10.
273. K. Kamataki, F. L. Chawarambwa, K. Koga, M. Shiratani, Challenges and Opportunities in Dye Sensitized Solar Cells Using DBD Plasma Treated Upconversion Nanoparticles (Invited), 236th ECS Meeting, 2019.10.
274. K. Koga, M. Shiratani, Non-equilibrium nanoparticle composite film process using reactive plasmas (Invited), Advanced Metallization Conference 2019: 29th Asian Session (ADMETA Plus 2019), 2019.10.
275. K. Kamataki, R. Iwamoto, S. Okunaga, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Developing Prediction of Key Plasma Processing Parameter from Small Data of Experimental Results by Machine Learning Method, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
276. F. L. Chawarambwa, M. Shiratani, K. Koga, K. Kamataki, H. Seo, Synthesis of Si-nanoparticles using low temperature plasmas and its application to DSSCs , The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
277. K. Koga, M. Shiratani, Plasmas - from Laboratory to Table - (Invited), The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
278. M. Shiratani, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, Ultra-sensitive Plasma Potential Measurements by using an Optically Trapped Fine Particle, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
279. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Spatiotemporal Structure of Fluctuation Related with Nanoparticle Growth in Amplitude-Modulated VHF Discharge Reactive Plasma, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
280. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, High Rate Deposition of Carbon Films Using High Pressure CH4 Plasma CVD, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
281. S. Nagaishi, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster incorporation in SiN films, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
282. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, M. Shiratani, Synthesis and deposition of a-C:H nanoparticles using reactive plasmas with a fast gas flow, The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
283. Y. Sasaki, S. Nagaishi, H. Hara, S. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of Low Hydrogen Content SiN films by Multi Hollow Discharge SiH4+N2 Plasma CVD , The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
284. M. Shiratani, K. Kamataki, K. Koga, N. Itagaki , Advanced Methods of Thin Film Fabrication using Plasmas (Invited), 28th International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS28), 2019.08.
285. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide bandgap (ZnO)x(AlN)1-x alloys: a new material system with tunable bandgap, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
286. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Influence of Seed Coat Color on Reactive Species in Plasma Irradiated Seeds of Radish Sprouts, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
287. R. Iwamoto, K. Kamataki, S. Muraoka, N. Miyahara, D. Yamashita, D. Ikeda, K. Koga, N. Itagaki, M. Shiratani, Prediction of Sputtering Conditions for Amorphous and Low Resistive ITO/In2O3 Films via Machine Learning, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
288. N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x Films on Sapphire Substrates, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
289. F. L. Chawarambwa, H. Chou, M. Shiratani, K. Koga, Atmospheric plasma-assisted modification of nanosized TiO2/Y2O3:Er3+/Yb3+ double composite film and its application to dye-sensitized solar cells, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
290. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
291. H. Hara, S. Nagaishi, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas velocity dependence of deposition rate and Si-H2 bond density of a-Si:H films deposited by multi-hollow discharge plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
292. K. Nishida, M. Kawaguchi, Y. Hazama, G. Uchida, F. Mitsugi, N. Takeuchi, K. Takenaka, K. Koga, Y. Setsuhara, M. Shiratani, T. Kawasaki, Effects of liquid properties on plasma-induced liquid flow, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
293. V. Mildaziene, L. Degutyte˙-Fomin, G. Pauzaite, A. Ivankov, R. Zukiene, Z. Nauciene, I. Filatova, V. Lyushkevich, K. Koga, M. Shiratani, Cold plasma treatment stimulates seed germination by inducing dormancy loss due to changes in phytohormone balance, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
294. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki M. Shiratani, Growth Mechanism of Carbon Nanoparticles In Multi-Hollow Discharge Plasma Chemical Vapor Deposition (Invited), XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
295. M. Shiratani, K. Tomita, H. Ohtomo, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Position fluctuation of a fine particle trapped optically in Ar plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
296. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, T. Nakatani, Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
297. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Relationship between Growth of Nanoparticles and Plasma Fluctuation due to Amplitude Modulated Discharge Voltage in Capacitively Coupled Reactive Plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
298. T. Kawasaki, K. Nishida, M. Kawaguchi, Y. Hazama, G. Uchida, F. Mitsugi, N. Takeuchi, K. Takenaka, K. Koga, Y. Setsuhara, M. Shiratani, Effects of surrounding gas on plasma-induced liquid flow, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
299. K. Koga, S. H. Hwang, T. Nakatani, J. S. Oh, K. Kamataki, N. Itagaki, M.Shiratani, Deposition of Carbon Nanoparticles Using Multi-Hollow Discharge Plasma CVD for Synthesis of Carbon Nanoparticle Composite Films, 46th International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2019), 2019.05.
300. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method, 第66回応用物理学会春季学術講演会, 2019.03.
301. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation Amount and Film Composition of SiN Films Fabricated by Multi-Hollow Discharge Plasma CVD Method, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
302. H. Hara, K. Tanaka, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of clusters and higher-order silanes (HOSs) on surface roughness and Si-H2 bond density in a-Si:H films deposited by SiH4 plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
303. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursor on FWHM into a-Si:H thin films deposited by plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
304. B. Zhang, F. L. chawarambwa, H. Seo, N. Itagaki, K. Koga, M. Shiratani, High transparency polymer counter electrode for bifacial dye sensitized solar cells, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
305. M. Shiratani, K. Kamataki, N. Itagaki, K. Koga, Ambipolar charging due to plasma irradiation: from nanoparticles to proteins (Invited), 19th Workshop on Fine Particle Plasmas, 2018.12.
306. H. Ohtomo, T. Chimura, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on Spatial Distribution of Plasma Fluctuation Using Optically Trapped Fine Particle in Ar Plasma, 19th Workshop on Fine Particle Plasmas, 2018.12.
307. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Growth of Nano-Particles in Reactive Plasma using Amplitude Modulated rf Discharge Voltage, 19th Workshop on Fine Particle Plasmas, 2018.12.
308. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of ZnO Based Compounds for Excitonic Devices, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
309. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Rate and Cluster Incorporation Amount of SiN Films Fabricated by a Multi-Hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
310. K. Imoto, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization: effects of target-substrate distance, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
311. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size and Structure Control of Carbon Nano-particles Synthesized by Multi-hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
312. A. Yamamoto, S. Tanida, K. Koga, M. Shiratani, CO2 hydrogenation by plasma catalytic method: pressure dependence, 28th Annual Meeting of MRS-J, 2018.12.
313. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster-eliminating filter and gas velocity on SiH2 bond formation in a-Si:H films deposited by MHDPCVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
314. K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursors on Raman intensity ITA/ITO of a-Si:H thin films fabricated by plasma CVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
315. Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2, O2 gas composition ratio and humidity in plasma on plasma induced plant growth enhancement, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
316. S. Tanida, A. Yamamoto, K. Koga, M. Shiratani, Approaches to increase throuput of Plasma- Catalytic CO2 methanation, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
317. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of compound semiconductors via inverse Stranski-Krastanov mode: A method of single crystalline film growth beyond lattice matchins condition, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
318. K. Koga, Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, T. Kawasaki , Spatial Profile of RONS Dose Supplied by a Scalable DBD Device, The 3rd Asian Applied Physics Conference, 2018.12.
319. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Bias Voltage on Deposition of Carbon Nano Particles Synthesized by Ar + CH4 Multi-Hollow Discharge Plasma , The 3rd Asian Applied Physics Conference, 2018.12.
320. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Gas velocity dependence of Si-H2 bond density and surface roughness of a-Si:H films deposited by multi-hollow plasma CVD, The 3rd Asian Applied Physics Conference, 2018.12.
321. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M, Shiratani, Time evolution of amount of cluster incorporation into SiNx films and electron temperature of CVD Plasma, The 3rd Asian Applied Physics Conference, 2018.12.
322. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Fluctuation Frequency on Growth of Nanopariticles in Reactive Plasmas, The 3rd Asian Applied Physics Conference, 2018.12.
323. R. Iwamoto, K. Kamataki, K. Tanaka, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Applying Multivariate Analysis to a-Si:H Deposition Plasma Process Data, The 3rd Asian Applied Physics Conference, 2018.12.
324. B. Zhang, F. L. Chawarambwa, H. Seo, K. Koga, M. Shiratani, Catalytic activity enhanced polymer counter electrode for bificial dye-sensitized solar cells, The 3rd Asian Applied Physics Conference, 2018.12.
325. F.L. Chawarambwa, B. Zhang, M. Shiratani, K. Koga, Synthesis of Er3+/Eu3+ co-doped Y2O3 nanoparticles and its application to dye sensitized solar
cells, The 3rd Asian Applied Physics Conference, 2018.12.
326. Relationship between plasma fluctuation, radicals and growth of nano-particle in reactive plasma.
327. Water Imbibition of Plant Seeds Irradiated by Atmospheric Pressure Plasma.
328. An evaluation of interactions between fine particles using collision analysis in Ar plasma.
329. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Kinetics of Carbon Nano Particles Generated in an Ar/CH4 Multi-Hollow Discharge Plasmas, 第35回プラズマ・核融合学会年会, 2018.12.
330. The structure analysis of fluctuation of nanoparticles in reactive plasmas .
331. Effect of buffer layer on the growth of ZnO films on Si (111) substrates by sputtering via nitrogen mediated crystallization.
332. Sputter epitaxy of single crystalline ZnO films on 18%-lattice-mismatched sapphire substrates using multiple ZnON buffers.
333. Hetero Epitaxy of In-rich (ZnO)x(InN)1-x films by Flux Control Sputtering.
334. N. Itagki, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy via Inverse Stranski-Krastanov Growth Mode: A Method of Single Crystal Growth beyond Lattice Matching Condition, AVS Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2018), 2018.12.
335. K. Koga, M. Shiratani, Innovative Agricultural Productivity Improvement Using Atmospheric Pressure Plasmas (Invited), 2018 MRS Fall Meeting & Exhibit, 2018.11.
336. N. Miyahara, D. Yamashita, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Catalyst-free growth of c-axis aligned (ZnO)0.79(InN)0.21 nanorods by RF magnetron sputtering, 2018 MRS Fall Meeting & Exhibit, 2018.11.
337. S. Muraoka, J. Lyu, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of nitrogen impurity on sputtering growth of ZnO films on Si(111) substrates, 2018 MRS Fall Meeting & Exhibit, 2018.11.
338. K. Koga, Y. Wada, R. Sato, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of Amount of RONS Transport and Absorption of Seeds, 2018 MRS Fall Meeting & Exhibit, 2018.11.
339. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Motion analysis of inter-particle interactions of three fine particles in Ar plasma, 40th International Symposium on Dry Process (DPS2018), 2018.11.
340. K. Imoto, N. Miyahara, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance, 40th International Symposium on Dry Process (DPS2018), 2018.11.
341. M. Shiratani, H. Ohtomo, K. Koga, Micron-scale plasma fluctuation detected using paired fine particles (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
342. M. Shiratani, Y. Wada, R. Sato, D. Yamashita, K. Koga, ESR study of plasma irradiated seeds, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
343. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Pressure on Size of Carbon Nanoparticles Prepared by Methane Plasma Process, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
344. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma
, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
345. J. Lyu, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Sputter epitaxy of single crystalline ZnO on 18%-lattice-mismatched sapphire using multi buffer layers fabricated via nitrogen mediated crystallization , 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
346. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, S. Nakano, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Suppression of HOS molecules incorporation in a-Si:H films fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
347. L. Shi, K. Tanaka, H. Hara, S. Nakano, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Spatial distribution of SiH2/SiH bond density ratio in a-Si:H solar cells fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
348. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
349. N. Itagki, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter epitaxy of high quality (ZnO)x(InN)1-x: a new semiconducting material for excitonic devices (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
350. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of Amplitude Modulation of RF Discharge Voltage on the Spatial Profile of Nanoparticle Characteristics in Reactive Plasma (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
351. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, T. Nakatani, M. Shiratani, Synthesis of Hydrogenated Amorphous Carbon Nanoparticles using High-Pressure CH4+Ar Plasmas and Their Deposition, AVS 65th International Symposium & Exhibition, 2018.10.
352. Study on interaction fluctuation by collision analysis of two fine particles in plasmas.
353. Effects of deposition precursors on Si network orderliness.
354. Sputter Epitaxy of In-rich (ZnO)x(InN)1-x Films Directly on Sapphire Substrates.
355. Seed coat function against atmospheric pressure dielectric barrier discharge plasma irradiation.
356. Influence of gas flow rate on deposition of carbon nanoparticles produced by CH4+Ar multi-hollow discharge plasma onto substrates.
357. K. Koga, K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Effects of cluster deposition on spatial profile of Si-Hx bond density in a-Si:H films, 2018 International Conference on Solid State Devices and Materials (SSDM2018), 2018.09.
358. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of CH4 Concentration on Size of Carbon Nano-Particles Formed in Multi-hollow Discharge Plasma, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
359. S. Nakano, K. Tanaka, H. Hara, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Improvement of Si network order of a-Si:H thin films by suppressing incorporation of HOS molecules, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
360. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Interactions of Two Fine Particles in Ar Plasma, JP-KO dust workshop 2018, 2018.07.
361. M. Shiratani, R. Zhou, H. Ohtomo, N. Itagaki, K. Koga, Density modulation of nanoparticles in amplitude modulated discharge plasmas, 24th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG 2018), 2018.07.
362. M. Shiratani, K. Koga, Cross correlation analysis of fluctuation of interactions between nanoparticles and low pressure reactive plasmas (Invited), 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
363. N. Miyahara, L. Shi, K. Iwasaki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room Temperature Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated by RF magnetron sputtering, 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
364. K. Koga, M. Shiratani, Challenge to precise control of chemical bond configuration in plasma CVD films, RUB Japan Science Days 2018, 2018.07.
365. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of gas velocity dependence of Si-H2 bond density at P/I interface of a-Si:H layered films deposited by multi-hollow discharge plasma CVD., Workshop "Plasma surface interaction for technological applications" , 2018.06.
366. A. Yamamoto, S. Tanida, S. Toko, K. Koga, M. Shiratani, Methanation of CO2 using low temperature and catalyst , Workshop "Plasma surface interaction for technological applications" , 2018.06.
367. K. Koga, M. Shiratani, Control of synthesis and deposition of nanoparticles using a multi-hollow discharge plasma CVD , Workshop "Plasma surface interaction for technological applications" , 2018.06.
368. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 28th Symposium on Plasma Physics and Technology (SPPT), 2018.06.
369. A. Yamamoto, S. Tanida, S. Toko, K. Koga, M. Shiratani, Effect of gas flow rate on plasma-catalytic methanation reaction, 28th Symposium on Plasma Physics and Technology (SPPT), 2018.06.
370. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, High energy leverage method on growth enhancement of bio-mass plants using plasma seed treatment, 7th International Conference on Plasma Medicine (ICPM-7), 2018.06.
371. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, A deep insight of plasma-nanoparticle interaction, 19th International Congress on Plasma Physics, 2018.06.
372. Deposition of a-C:H films using H-assisted plasma CVD method together with tailored voltage waveforms.
373. Photoluminescence of (ZnO)0.73(InN)0.27 fabricated by sputter epitaxy.
374. Electron spin resonance spectroscopy of radish sprout seeds irradiated using atmospheric air dielectric barrier discharge plasmas.
375. A New Approach to Sputter Epitaxy for Growth of Thin Films with Atomically-Flat Surface on Large Lattice Mismatched Substrates.
376. Rate-limiting steps in plasma-catalytic methanation process of CO2.
377. M. Shiratani, K. Koga, Impact of Plasma Agriculture on Global Vegetation Biomass, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
378. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of RONS Dose on Plasma Induced Growth Enhancement of Radish Sprout, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
379. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Number Density of Seeds on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
380. V. Mildaziene, R. Zukiene, L. Degutytė-Fomins, G. Pauzaite, J. Ziauka, K. Koga, M. Shiratani, Cold Plasma Treatment Induces Changes in Seed Hormone Content and Explant Growth , 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
381. M. Shiratani, H. Seo, N. Itagaki, K. Koga, IoT-oriented solar cells fabricated using plasma-based nanotechnology (Invited), 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
382. K. Yamaki, T. Fang, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, A tailored voltage waveform plasma CVD method for carbon film deposition, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
383. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Coupling of Interaction Fluctuation between Reactive Plasmas and Nanoparticles, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
384. H. Ohta, K. Takahashi, Jun-Seok Oh, K. Koga, T. Nakatani, M. Ito, M. Shiratani, K. Yonezawa, Pressure Gradient Sputtering to Achieve High Deposition Rate for Metal Thin Film, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
385. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Thin film deposition using low temperature plasmas: past, present, and future (Plenary), 4th International Workshop on Advanced Plasma Technology and Applications (The 4th IWAPTA workshop), 2018.02.
386. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Plasma Enhanced Carbon Recycling for Large-Scale Introduction of Solar Cells to Energy Supply Chain, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
387. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, K. Takenaka, Y. Setsuhara, Plasma CVD of a-C:H films as protective layers for solar cells (Invited), 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
388. H. Seo, D. Sakamoto, B. Zhang, N. Itagaki, K. Koga, M. Shiratani, Strategy for the commercialization of dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
389. B. Zhang, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of additive on catalytic enhancement of polymer counter electrode in dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
390. D. Sakamoto, B. Zhang, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on photovoltaic performance of dye-sensitized solar cells by applying up-conversion Y2O3:Er3 + nanoparticles, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
391. K. Tanaka, H. Hara, S. Toko, T. Kojima, S. Nagaishi, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
392. A. Yamamoto, S. Toko, S. Tanida, K. Koga, M. Shiratani, Reduction of activation energy of CO2 methanation reaction using plasma-catalytic method, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
393. S. Toko, T. Kojima, K. Koga, M. Shiratani, Utilizing cluster cloud as a selective filter of deposition precursors of aSi:H films, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
394. K. Koga, G. Uchida, M. Shiratani, Towards ultra-high capacity batteries, Joint workshop btw SKKU and Kyushu University Emerging materials and devices, 2018.01.
395. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, A new insight into nanoparticle-plasma interactions (Invited), JP-KO dust workshop, 2017.12.
396. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, Evaluation of coupling among interaction fluctuations in nanoparticle growth in reactive plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
397. M. Shiratani, K. Koga, Fine Particle Plasma and Plasma Process (Invited), 18th Workshop on Fine Particle Plasmas, 2017.12.
398. H. Ohtomo, K. Mori, R. Zhou, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Fluctuation in Capacitively Coupled Low Pressure Ar Plasma Using Optical Tweezers, 18th Workshop on Fine Particle Plasmas, 2017.12.
399. T. Kojima, K. Tanaka, S. Nagaishi, H. Hara, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cloud of a-Si:H Clusters as Selective Filter in SiH4 Multi-Hollow Discharge Plasma, 18th Workshop on Fine Particle Plasmas, 2017.12.
400. K. Mori, R. Zhou, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cross-spectrum Analysis of Interaction Fluctuation between Plasma and Nanoparticles in Capacitively-Coupled RF Discharge Reactive Plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
401. K. Koga, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Deposition of High Quality Silicon Thin Films Utilizing Nanoparticles Trapped in Plasmas, 27th annual meeting of MRS-J, 2017.12.
402. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Number Density of Seeds on Plasma Induced Plant Growth Enhancement, 27th annual meeting of MRS-J, 2017.12.
403. N. Itagaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Fabrication of High-Mobility Nanocrystal-Free a-In2O3:Sn Films by Magnetron Sputtering with Impurity-Mediated Amorphization Method, 27th annual meeting of MRS-J, 2017.12.
404. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Advanced plasma process for next-generation photovoltaics, 27th annual meeting of MRS-J, 2017.12.
405. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation Analysis of An Optical Trapped Fine Particle in Ar Plasma, 27th annual meeting of MRS-J, 2017.12.
406. M. Shiratani, K. Koga, Impact of Plasma Processing of Agricautural Food on Taste and Food Quality (Invited), The 10th EU-Japan Joint Symposium on Plasma Processing (JSPP2017), 2017.12.
407. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gap between Electrodes and Seeds on Plasma Induced Plant Growth Enhancement, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
408. L. Shi, N. Miyahara, D. Yamashita, H. Seo, J. Lyu, K. Koga, M. Shiratani, N. Itagaki, Effects of Gas Pressure on Crystal Quality of ZION Films Fabricated by RF Magnetron Sputtering, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
409. K. Imoto, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering Growth of SiC Films on Si(111) Substrates by using Impurity Mediated Crystallization Method, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
410. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Flow Velocity on Plant Growth of Radish Sprout, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
411. M. Shiratani, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, Growth Enhancement of Sorghum by Plasma Irradiation to The Seeds, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
412. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Correlation analysis between high energy electrons and nanoparticles in AM CCP, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
413. N. Miyahara, K. Iwasaki, L. Shi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated on sapphire substrates, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
414. Catalytic enhancement of polymer counter electrode in dye-sensitized solar cells.
415. S. Tanida, S. Toko, A. Yamamoto, K. Koga, and M. Shiratani, Effects of electrode material on low pressure plasma-catalytic CO2 methanation, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
416. K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Development of a fine particle transport analyzer for processing plasmas, The 39th International Symposium on Dry Process (DPS 2017), 2017.11.
417. S. Tanida, S. Toko, K. Koga, A. Yamamoto, M. Shiratani, Carbon Recycling using Low Temperature Plasma with Activated Catalysts, The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
418. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Nanoparticle Composite Films: Fabrication and Functions (Invited), The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
419. H. Ohtomo, K. Mori, Z. Ren, D. Yamashita H. Seo, N. Itagaki, K. Koga, M. Shiratani, An Analysis on a Kinetic Motion of Optical Trapped Single Fine Particle in Ar Plasma, The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
420. K. Koga, S. Toko, S. Tanida, M. Shiratani, Surface-driven CH4 generation from CO2 in Low-pressure Non-thermal Plasma, American Vacuum Society 64th International Symposium and Exhibition (AVS64), 2017.10.
421. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Novel Fabrication Methods of Thin Films using Low Temperature Plasmas (Invited), Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
422. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of gas flow rate ratio on structure of a-C:H films deposited using Ar + H2+ C7H8 plasma CVD, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
423. N. Miyahara, K. Iwasaki, L. Shi, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from epitaxial (ZnO)x(InN)1-x films on sapphire substrates fabricated by RF magnetron sputtering, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
424. M. Shiratani, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga , Local Fluctuations of Plasma Detected with an Optically Trapped Fine Particle , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
425. T. Fang, K. Yamaki, K .Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effect of Gas flow rate ratio on the structure and properties of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
426. K. Tanaka, T. Kojima, S. Toko, D. Yamashita, Hy. Seo, N. Itagaki, K.Koga, M. Shiratani, Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells fabricated by plasma CVD (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
427. K. Koga, S. Toko, M. Shiratani , Hysteresis in Plasma CVD: a new path for high quality film deposition, 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
428. B. Zhang, D. Sakamoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer counter electrodes of dye-sensitized solar cells by isooctylphenoxy-polyethoxyethanol addition, 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
429. M. Shiratani, H. Seo, N. Itagaki, K. Koga, Spatial Structure of Interactions between Nanoparticles and Low Pressure Plasmas (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
430. E. Leal-Quiros, S. Banerjee, J.M.Contreras, K. Koga, M. Shiratani, F. Prakshi, S. Montesinos,Y. Alcantara, F. Avendano, Non-thermal atmospheric-pressure plasma activation of herbal seeds indicating the acceleration of the plant’s germination period (OIM1), 16th Latin American Workshop on Plasma Physics, 2017.09.
431. S. Toko, S. Tanida, K. Koga, M. Shiratani, Effects of H2 flow rate on methanation of CO2 using low pressure nonthermal plasma, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.09.
432. T. Kawasaki, K. Koga, M. Shiratani, Storage and Transportation of Reactive Oxygen Species in Tissue Phantom Irradiated with Non-thermal Plasma Jet, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.09.
433. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, M. Shiratani , A Theoretical Model for Time Evolution of Radical Deposition Rate in Silane Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
434. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, Effects of bias voltage on the surface morphology of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
435. N. Miyahara, K. Matsushima, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of surface flat (ZnO)x(InN)1-x films on 3%-lattice-mismatched a-sapphire substrates, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
436. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Next Generation Photovoltaics Based on Si Nano-particles Fabricated by Advanced Plasma Process, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
437. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Optical Trapping Process of Single Fine Particle in Ar Plasma, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
438. K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatiotemporal structure of fluctuation of interactions between plasmas and nanoparticles in capacitively-coupled rf discharge reactive plasmas, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
439. Y. Oide, T. Sarinont, R. Katayama, Y. Wada, T. Kawasaki, D. Yamashita, H. Seo, N. Itagaki, P. Attri, E. H. Choi, A. Tanaka, K. Koga, M. Shiratani, Two-dimensional profile of RONS dose irradiated with a scalable DBD device, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
440. Y. Wada, T. Sarinont, Y. Oide, K. Koga, M. Shiratani, Growth enhancement of radish sprout using plasma activated river water and falls water, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
441. N. Itagaki, T. Takasaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani , Fabrication of High-Mobility Amorphous In2O3:Sn Films by RF Magnetron Sputtering with Impurity-Mediated Amorphization Method (Keynote), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
442. K. Tanaka, S. Toko, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Film thickness dependence of structure of a-Si:H thin films measured by Raman spectroscopy, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
443. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Single Crystalline ZnO-Based Semiconductors on Lattice Mismatched Substrates (Invited), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
444. H. Seo, D. Sakamoto, H. Chou, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement of Polymer Counter Electrode of Photochemical Photovoltaics based on Nano-particle Application, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
445. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Pit-Free Single Crystalline ZnO Films on Lattice Mismatched Substrates, 29th International Conference on Defects in Semiconductors (ICDS2017), 2017.08.
446. M. Shiratani, T. Takasaki, H. Wang, K. Matsushima, H. Seo, K. Koga, K. Takeda, M. Hori, and N. Itagaki , Measurements of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
447. S. Toko, S. Tanida, K. Koga, M. Shiratani, Rise time of Sabatier process using low pressure and low temperature plasma , International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
448. S. Toko, K. Tanaka, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells deposited by multi-hollow discharge plasma CVD , International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
449. M. Shiratani, S. Toko, S. Tanida, K. Koga, Carbon recycling using Cu catalyst together with low pressure capacitively-coupled plasma, 2017 International Forum on Functional Materials (IFFM2017) , 2017.06.
450. K. Koga, H. Seo, A. Tanaka, N. Itagaki, M. Shiratani, Synthesis of Nanoparticles Using Low Temperature Plasmas and Its Application to Solar Cells and Tracers in Living Body (Invited), 231st Meeting of Electrochemical Society (ECS), 2017.05.
451. M. Shiratani, T. Sarinont, Y. Wada, R. Katayama, Y. Oide, K. Koga, Effects of atmospheric pressure plasma to various plant families on plant growth enhancement, 第64回応用物理学会春季学術講演会, 2017.03.
452. K. Koga, K. Mori, H. Seo, N. Itagaki, M. Shiratani, Corrational study of fluctuation of coupling between plasmas and nanoparticles, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
453. S. Tanida, S. Toko, R. Katayama, K. Koga, M. Shiratani, Time evolution of CH4 yield in methanation of CO2 using helicon discharge plasma, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
454. T. Kawasaki, G. Kuroeda, R. Sei, M. Yamaguchi, R. Yoshinaga, R. Yamashita, H. Tasaki, K. Koga, M. Shiratani , Transportation of reative oxygen species through a tissue phantom by plasmajet irradiation , 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
455. K. Koga, P. Attri, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Comparision of Gamma irradation and scalable DBD on the declorization of Dyes, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
456. K. Koga, A. Tanaka, M. Hirata, T. Amano, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Long-term evaluation of In nanoparticle transport in living body, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
457. M. Shiratani, T. Sarinont, Y. Wada, K. Koga, Low Temperature Air Plasma Irradiation to Rice Seeds, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
458. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2/Si-H bond ratio at P/I interface, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
459. S. Toko, S. Tanida, K. Koga, M. Shiratani, Theoretical Consideration on Methane Production Using Plasma on Mars, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
460. A. Yonesu, K. Koga, M. Shiratani, N. Hayashi, Influence of Plasma Irradiation on Silkworm , 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
461. D. Sakamoto, H. Chou, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Si Nanoparticles Fabricated by Multi-hollow Discharge Plasma Chemical Vapor Deposition and their Photovoltaic Application, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
462. K. Koga, K. Mori, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time evolution of cross-correlation between two fluctuations of couplings between plasmas and nanoparticles in amplitude modulated discharges , 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
463. K. Koga, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, Dependence of amount of plasma activated water on growth enhancement of radish sprout, 26th annual meeting of MRS-J, 2016.12.
464. M. Shiratani, T. Sarinont, P. Attri, K. Koga, Comparison of biological effects between plasma and gamma-ray radiation, 26th annual meeting of MRS-J, 2016.12.
465. Y. Nakatsu, N. Takano, M. Ohno, S. Kitazaki, K. Koga, A. Tanaka, M. Shiratani, T. Tsuzuki, Analyses of Oxidative Mutagenesis and Carcinogenesis Using Genetically Modified Mice: Application to Plasma Medicine (Invited), 26th annual meeting of MRS-J, 2016.12.
466. T. Sarinont, Y. Wada, R. Katayama, K. Koga, M. Shiratani, Effects of plasma irradiation on growth of wheat and melon, 26th annual meeting of MRS-J, 2016.12.
467. Y. Wada, T. Sarinont, R. Katayama, K. Koga, M. Shiratani, Stimulation of germinability of seeds under various gas plasma activated water, 26th annual meeting of MRS-J, 2016.12.
468. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara , DC bias voltage dependence of dust transport in a compact dust trajectory analyzer, 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
469. N. Itagaki, K. Matsushima, D. Ymashita, H. Seo, K. Koga, M. Shiratani, Sputtering growth of (ZnO)x(InN)1-x semiconductor: a ZnO-based compound with bandgap tunability over the entire visible spectrum (Invited), 26th annual meeting of MRS-J, 2016.12.
470. S. Toko, R. Katayama, S. Tanida, K. Koga, M. Shiratani, Discharge power dependence of methanation of CO2 under low pressure, 26th annual meeting of MRS-J, 2016.12.
471. H. Ohtomo, M. Soejima, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Characteristic length of position fluctuation of a single fine particle optically trapped in Ar plasma, 26th annual meeting of MRS-J, 2016.12.
472. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of internal resistance by the quantity of Si nanoparticles on polymer counter electrode in dye-sensitized solar cells, 26th annual meeting of MRS-J, 2016.12.
473. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of bias voltage on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 26th annual meeting of MRS-J, 2016.12.
474. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, Effects of electrostatic field direction on dust accumulation to mirror in a plasma reactor, 26th annual meeting of MRS-J, 2016.12.
475. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of modulation frequency on interaction fluctuation between plasma and nanoparticles, 26th annual meeting of MRS-J, 2016.12.
476. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2 bond formation at P/I interface, 26th annual meeting of MRS-J, 2016.12.
477. N. Miyahara, T. Ide, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Effects of Sputtering Gas Composition on Properties of ZnO Prepared by Nitrogen Mediated Crystallization Method, 26th annual meeting of MRS-J, 2016.12.
478. T. Takasaki, H. Wang, N. Miyahara, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, High-mobility transparent amorphous In2O3:Ge films fabricated by impurity mediated amorphization method, 26th annual meeting of MRS-J, 2016.12.
479. M. Soejima, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Interaction potential fluctuation between binary collisions of fine particles suspended in low pressure Ar rf plasmas, 26th annual meeting of MRS-J, 2016.12.
480. S. Tanami, Y. Oide, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Properties of Au-induced layer-exchange crystalline Ge formed by sputtering deposition, 26th annual meeting of MRS-J, 2016.12.
481. K. Tanaka, T. Kojima, K. Keya, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatial distribution of Si-H2 bond density in a-Si:H film evaluated by microscopic FTIR, 26th annual meeting of MRS-J, 2016.12.
482. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Time evolution of electron temperature and amount of cluster incorporation into films in SiH4 multi-hollow plasma CVD, 26th annual meeting of MRS-J, 2016.12.
483. M. Shiratani, X. Dong, H. Seo, N. Itagaki, K. Koga, Plasma anisotropic CVD of carbon films from toluene (Invited), 6th International Conference on Advanced Plasma Technologies (ICAPT-6), 2016.12.
484. K. Koga, T. Amano, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, A. Tanaka, Y. Nakatsu, T. Kondo, Comparative study on death of cells irradiated by non-thermal plasma, X-ray, and UV, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
485. H. Seo, D. Sakamoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic characteristics and photovoltaic application of polymer nano-composite, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
486. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse discharge on cluster transport in downstream region of multi-hollow discharge CVD plasma, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
487. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement of fill factor (FF) of dye-sensitized solar cell by means of counter polymer electrode containing Si nanoparticles, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
488. Y. Wada, T. Sarinont, R. Katayama, K. Koga, M. Shiratani, Effects of atmospheric air plasma irradiation to a part of seeds on growth of plants, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
489. Study on suppression of dust deposition on mirror using a compact dust trajectory analyzer .
490. K. Matsushima, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Blue and Green Photoluminescence of (ZnO)0.92(InN)0.08, 2016 MRS Fall Meeting & Exhibit , 2016.12.
491. K. Iwasaki, T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growth of Single Crystalline ZnO Films on Sapphire Substrates, 2016 MRS Fall Meeting & Exhibit , 2016.12.
492. Temporal development of two dimensional structure of fluctuation of interaction between plasmas and nanoparticles.
493. Fabrication of a-Si Thin Film Solar Cells with High Efficiency and Low Light Induced Degradation using Plasma CVD .
494. T. Sarinont, K. Koga, M. Shiratani, Plant Growth Enhancement of Seeds Immersed in Plasma Activated Water, 2016 MRS Fall Meeting & Exhibit , 2016.11.
495. K. Koga, T. Sarinont, M. Shiratani, Control of Plant Growth by RONS Produced Using Nonthermal Atmospheric Air Plasma , American Vacuum Society 63rd International Symposium and Exhibition (AVS63), 2016.11.
496. D. Sakamoto, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of particle size on catalytic characteristics of polymer counter electrode containing Si nanoparticles in dye-sensitized solar cells, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
497. T. Takasaki, H. Seo, N. Itagaki, K. Koga, and M. Shiratani , Effect of photocatalyst TiO2 on the long-term stability of dye-sensitized solar cells , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
498. D. Sakamoto, H. Seo, S. Tanami, Y. Oide, N. Itagaki, K. Koga, M. Shiratani, Low cost dye-sensitized solar cells based on polymer composite catalyst, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
499. T. Takasaki, W. Han, K. Iwasaki, K. Matsushima,D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room temperature fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization method , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
500. M. Shiratani, T. Sarinont, K. Koga, Advantages of Plasma Agriculture, The First International Conference on Hybridized Agriculture(HA2016), 2016.10.
501. K. Koga, R. Katayama, T. Sarinont, H. Seo, N. Itagaki, P. Attri, E. L. Quiros, .A. Tanaka, M. Shiratani, Comparative study of non-thermal atmospheric pressure discharge plasmas for life science applications, 69th Annual Gaseous Electronics Conference (GEC2016), 2016.10.
502. H. Seo, D. Sakamoto, C. V. V. M. Gopi, M. Kim, H. J. Kim, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer composite counter electrode for low cost quantum dot-sensitized solar cells, The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016) , 2016.09.
503. M. Shiratani, K. Koga, Plasma assisted plant growth enhancement for agricultural yield enhancement (Invited), The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016) , 2016.09.
504. K. Koga, T. Amano, Y. Nakatsu, H. Seo, N. Itagaki, A. Tanaka, T. Kondo, M. Shiratani, Time development of response of cells irradiated by non-thermal atmospheric air plasma, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
505. G. Uhcida, A. Nakajima, T. Ito, K. Takenaka, T. Kawasaki, K. Koga, M. Shiratani, Y. Setsuhara, Effects of plasma-irradiation distance on ROS and RNS productions in liquid, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
506. T. Sarinont, P. Attri, E. H. Choi, K. Koga, M. Shiratani, A comparative study of reactive oxygen species dose provided by atmospheric plasma and gamma ray irradiation using iodine-starch reaction, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
507. M. Shiratani, P. Attri, T. Sarinont, E. H. Choi, K. Koga, A comparative study for action of gamma and plasma irradiation dose on thermodynamics of protein, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
508. M. Soejima, K. Koga, M. Shiratani, Inter-Particle Potential Fluctuation of Two Fine Particles Suspended in Ar Plasmas, 16th International Conference On Nanotechnology (IEEE NANO 2016), 2016.08.
509. K. Koga, T. Sarinont, P. Attri, M. Shiratani, Nitrite concentration of plants grown from seeds irradiated by air dielectric barrier discharge plasmas, 20th International Vacuum Congress (IVC-20), 2016.08.
510. S. Tanami, Y. Oide, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on Au-induced layer-exchange crystalline Ge formation using sputtering deposition, 20th International Vacuum Congress (IVC-20), 2016.08.
511. T. Sarinont, P. Attri, K. Koga, M. Shiratani, Effects of atmospheric pressure plasma irradiation to plant seeds put in magnetic field on the plant growth, 20th International Vacuum Congress (IVC-20), 2016.08.
512. S. Toko, R. Katayama, K. Koga, M. Shiratani, Methanation of CO2 by low pressure helicon plasma discharge , 20th International Vacuum Congress (IVC-20), 2016.08.
513. H. Seo, M. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani , Catalytic Activation of Polymer Composite Catalyst for Photochemical Solar Cells, The 67th Annual Meeting of the International Society of Electrochemistry, 2016.08.
514. H. Seo, M. K. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Surface modification of polymeric catalyst for the catalytic enhancement of dye and quantum dot sensitized solar cells, 20th International Vacuum Congress (IVC-20), 2016.08.
515. M. Shiratani, S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki and K. Koga , Time evolution of radical deposition rate and cluster amount (Invited), 20th International Vacuum Congress (IVC-20), 2016.08.
516. K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani and S. Inagaki, Envelope analysis and cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, Workshop on Plasma surface interaction for technological applications, 2016.07.
517. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of clusters transport on hydrogenated amorphous silicon solar cells, Workshop on Plasma surface interaction for technological applications, 2016.07.
518. K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki and M. Shiratani, Effects of hydrogen content on a-C:H films deposited by Ar+H2+C7H8 plasma CVD, Workshop on Plasma surface interaction for technological applications, 2016.07.
519. K. Yamaki. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Effects of hydrogen content on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
520. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of discharge power on transport characteristics of clusters in the downstream region of multi-hollow SiH4 discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
521. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Modulation level dependence of fluctuation of interactions between plasmas and nanoparticles grown in amplitude modulated discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
522. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, DC biased parallel plates for reduction of dust accumulation on first mirror, International Conference on Plasma Surface Interactions in Controlled Fusion Devices (22nd PSI) , 2016.05.
523. M. Shiratani, K. Koga, Fluctuation of position and energy of a fine particle in plasma nanofabrication (Invited), Intl’ Conf. on Processing & Manufacturing of Advanced Materials (THERMEC’2016), 2016.05.
524. M. Shiratani, T. Sarinont, K. Koga, N. Hayashi, Plasma induced multigeneration effects on plant growth and crop yield (Invited), 1st International Workshop on Plasma Agriculture (IWOPA), 2016.05.
525. M. Shiratani, T. Sarinont, K. Koga, N. Hayashi, R&D status of agricultural applications of high voltage and plasma in Japan (Invited), Workshop on Application of Advanced Plasma Technologies in CE Agriculture, 2016.04.
526. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, A compact drift tube: a novel in-situ dust measurement method, 8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science (ISPlasma2016/IC-PLANTS2016), 2016.03.
527. M. Soejima, K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shirtani, Non-thermal atmospheric air plasma irradiation to plant seeds for plasma agricultural applications, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
528. M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation in interaction potential between binary colliding fine particles suspended in plasmas, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
529. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Deposition of high-mobility amorphous In2O3:Sn films by utilizing nitrogen mediated amorphization method, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
530. S. Tanami, S. Hashimoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of annealing temperature on grain size of Au catalyst for layer-exchange Ge crystalline formation, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
531. K. Keya, Y. Torigoe, S. Toko, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Evaluation of SiH and SiH2 bonds in PIN a-Si:H solar cells using Raman spectroscopy, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
532. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hybrid counter electrode of photochemical solar cells for iodine and polysulfide redox systems (Invited), The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
533. S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Inverse Correlation between Radical Deposition Rate and Cluster Amount in Gas Phase in SiH4 Discharge Plasmas for Si Thin Film Solar Cell Fabrication, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
534. H. Seo, M.-K. Son, N. Itagaki, K. Koga, M. Shiratani, Stable and low cost polymeric catalyst for dye-sensitized solar cells, EMN Photovoltaics Meeting, 2016.01.
535. Time evolution of cluster amount in downstream of SiH4 multi-hollow discharge plasmas.
536. Dust collection experiments using a compact drift tube in a divertor simulator.
537. Effects of ion irradiation on a-C:H films deposited by Ar+H2+C7H8 plasma CVD.
538. Sputter deposition of high quality (ZnO)x(InN)1-X films by controlling O2/N2 partial pressure.
539. Control of Ge nanoparticles Size Embedded in SiO2 by Plasma Sputtering.
540. Envelope analysis of laser light scattering intensity from nanoparticles formed in reactive plasmas : Modulation frequency dependence.
541. K. Koga, T. Ito, T. Kobayashi, M. Shiratani, S. Inagaki, Analysis of Spatiotemporal Development of Interaction Fluctuation between Nanoparticles and Radicals in Reactive Plasmas, 9th APSPT/28th SPSM, 2015.12.
542. K. Matsushima, T. Ide, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate Temperature on Film Growth Mode of ZnO-InN Pseudo Binary Alloys, 9th APSPT/28th SPSM, 2015.12.
543. S. Toko, R. Katayama, K. Koga, M. Shiratani, Dependence of CO2 Conversion on Molar Ratio of H2/CO2 in Helicon Discharge Plasma, 9th APSPT/28th SPSM, 2015.12.
544. K. Koga and M. Shiratani, Potential fluctuation evaluation using binary collision of fine particles suspended in plasmas (Invited), 第16回微粒子プラズマ研究会, 2015.12.
545. R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, DC biased compact drift tube for measuring dust transport, 第16回微粒子プラズマ研究会, 2015.12.
546. Inter-grain Potential between Two Dust Grains in Ar Plasma.
547. Contribution of Surface Reactions to Si-H2 Bond Formation in a-Si:H Thin Films.
548. Effects of Substrate Surface Texture on Si-H2 Bond Configuration in a-Si:H Films.
549. Effects of Plasmairradiation Distance on Oxidation Reaction in Liquid Induced by He/O2 Plasma-jet Irradiation.
550. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dotsensitized Solar Cells Based on Improved Charge Transfer, 第25回日本MRS年次大会, 2015.12.
551. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Potentials of Nano-particles Based on Advanced Plasma Processes (Invited), 第25回日本MRS年次大会, 2015.12.
552. Contribution of Clusters and SiH3 Radicals to Si-H2 Bond Formation in a-Si:H Films.
553. Reaction Rate of CH4 Generation in CO2 - H2 Helicon Plasmas.
554. M. Shiratani, T. Sarinont, T. Amano, N. Hayashi, K. Koga, Plasma Assisted Agriculture (Invited), 2015 MRS Fall Meeting, 2015.12.
555. K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Chemical Ordering in ZnO-InN Pseudo Binary Alloys with Tunable Bandgap, 2015 MRS Fall Meeting, 2015.12.
556. T. Amano, T. Sarinont, G. Uchida, T. Kawasaki, K. Koga, M. Shiratani, A Simple Method for Quantifying Dose of Reactive Species Generated by Atmospheric Pressure Plasmas, 2015 MRS Fall Meeting, 2015.12.
557. T. Amano, T. Sarinont, K. Koga, M. Hirata, A. Tanaka, M. Shiratani, Deposition Kinetics of Metal Nanoparticles Produced by Discharges in Water, 2015 MRS Fall Meeting, 2015.12.
558. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic Activation in Polymeric Counter Electrode of Dye-sensitized Solar Cells by Surface Modification, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
559. H. Seo, S.-H. Nam, J.-H. Boo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on Photocatalytic and Photovoltaic Properties of Dye-sensitized Solar Cells by S doped TiO2, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
560. K. Koga, X. Dong, K. Yamaki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka ,Y. Setsuhara, Effects of Ion Energy on Chemical Bond Configuration in a-C:H Deposited using Ar + H2+ C7H8 Plasma CVD, 37th International Symposium on Dry Process (DPS2015), 2015.11.
561. K. Koga, T. Amano, T. Sarinont, T. Kondo, S. Kitazaki, Y. Nakatsu, A. Tanaka, M. Shiratani, Interactions between spin trapping reagents and non-thermal air DBD plasmas, 37th International Symposium on Dry Process (DPS2015), 2015.11.
562. M. Shiratani and K. Koga, Plasma assisted enhancement of agricultural yield (Invited), 25th International TOKI Conference (ITC-25), 2015.11.
563. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shiratani, Improving of Harvest Period and Crop Yield of Arabidopsis Thaliana L. using Nonthermal Atmospheric Air Plasma, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
564. K. Koga, T. Amano, T. Sarinont, T. Kawasaki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Y. Nakatsu, A. Tanaka, Two Dimentional Visualization of Oxidation Effect of Scalable DBD Plasma Irradiation using KI-starch Solution, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
565. G. Uchida, A. Nakajima, T. Kawasaki, K. Koga, K. Takenaka, M. Shiratani, Y. Setsuhara, Gas flow rate dependence of the production of reactive oxygen species in liquid by a plasma-jet irradiation, ICRP9/GEC68/SPP33, 2015.10.
566. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, Y. Nakatsu, A. Tanaka, M. Shiratani, Simple Evaluation Method of Atmospheric Plasma Irradiation Dose using pH of Water, ICRP9/GEC68/SPP33, 2015.10.
567. R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, M. Tokitani, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Deposition rate and etching rate due to neutral radicals and dust particles measured using QCMs together with a dust eliminating filter, ICRP9/GEC68/SPP33, 2015.10.
568. Y. Torigoe, K. Keya, S. Toko, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of electrode structure on characteristics of multi-hollow discharges, ICRP9/GEC68/SPP33, 2015.10.
569. S. Hashimoto, S. Tanami, H. Seo, G. Uchida, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2 dilution on fabrication of Ge nanoparticles by rf sputtering, ICRP9/GEC68/SPP33, 2015.10.
570. D. Yamashita, M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Laser trapped single fine particle as a probe of plasma parameters, ICRP9/GEC68/SPP33, 2015.10.
571. K. Keya, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of PIN hydrogenated amorphous silicon solar cells, ICRP9/GEC68/SPP33, 2015.10.
572. T. Amano, K. Koga, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, S. Kitazaki, M. Hirata, Y. Nakatsu, A. Tanaka, Synthesis of indium-containing nanoparticles using plasmas in water to study their effects on living body, ICRP9/GEC68/SPP33, 2015.10.
573. Y. Setsuhara, G. Uchida, A. Nakajima, K. Takenaka, K. Koga, M. Shiratani, Discharge characteristics and hydrodynamics behaviors of atmospheric plasma jets produced in various gas flow patterns, ICRP9/GEC68/SPP33, 2015.10.
574. T. Sarinont, T. Amano, K. Koga, M. Shiratani, Effects of Ambient Humidity on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation to Plant Seeds, ICRP9/GEC68/SPP33, 2015.10.
575. M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Attraction during binary collision of fine particles in Ar plasma, ICRP9/GEC68/SPP33, 2015.10.
576. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation into A-Si:H Films Deposited Using H 2 +SiH 4 Discharge Plasmas, ICRP9/GEC68/SPP33, 2015.10.
577. T. Ito, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, T. Kobayashi, S. Inagaki, Cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, ICRP9/GEC68/SPP33, 2015.10.
578. T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization, ICRP9/GEC68/SPP33, 2015.10.
579. K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films, ICRP9/GEC68/SPP33, 2015.10.
580. T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, ICRP9/GEC68/SPP33, 2015.10.
581. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Raman Spectroscopy of a -C:H Films Deposited Using Ar + H 2 + C 7 H 8 Plasma CVD, ICRP9/GEC68/SPP33, 2015.10.
582. S. Tanami, D. Ichida, D. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of Au-induced crystalline Ge film formation using sputtering deposition, ICRP9/GEC68/SPP33, 2015.10.
583. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Novel polymer counter electrode of dye-sensitized solar cells, 66th Annual Meeting of the International Society of Electrochemistry, 2015.10.
584. K. Koga, T. Amano, M. Hirata, A. Tanaka, M. Shiratani, In vivo kinetics of nanoparticles synthesized by plasma in water (Invited), The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.10.
585. K. Koga, M. Soejima, K. Tomita, T. Ito, H. Seo, N. Itagaki, M. Shiratani, In-situ laser Raman spectroscopy of an optically trapped fine particle, 17th International Symposium on Laser-Aided Plasma Diagnostics (LAPD17) , 2015.09.
586. T. Amano, T. Sarinont, K. Koga, M. Shiratani, NO2- Concentration In Seeds Irradiated By Atmospheric Dielectric Barrier Discharge Plasmas, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
587. T. Sarinont, K. Koga, T. Amano, M. Shiratani, Response Of Silkworm Larvae To Non-thermal Atmospheric Pressure Plasma Stimulation, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
588. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration In Extremely Thin A-Si:H Fimls Deposited By Multi-Hollow Discharge Plasma CVD Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
589. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition Of Amorphous In2O3:Sn Films With High Mobility By Utilizing Nitrogen Mediated Amorphization Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
590. K. Koga and M. Shiratani, Control Of Nanoprticle Transport And Their Deposition For Porous Low-k Films By Using Plasma Pertubation (Invited), The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
591. M. Shiratani, T. Ide, K. Matsushima, T. Takasaki, H. Seo, K. Koga, N. Itagaki, Requirements Of Buffer Layer For Heteroepitaxy Of ZnO On Lattice-Mismatched Substrate By Sputtering, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
592. G. Uchida, A. Nakajima, K. Takenaka, Y. Setsuhara, K. Koga, M. Shiratani, Discharge Characteristics Of A Helium Atmospheric Plasma Jet Impinging Onto The Liquid Surface, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
593. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement On Polymeric Counter Electrode Of Si Quantum Dot-sensitized Solar Cells, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
594. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement Of Organic/inorganic Hybrid Solar Cell By The Surface Modification At Si And Polymer Junction, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
595. G. Uchida, H. Seo, K. Koga, M. Shiratani, Fabrication Of Ge Nanoparticle Composite Films By Reactive Dusty Plasma Process For Next Generation Energy Devices (Invited), The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
596. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Gas Flow Rate Ratio Dependence Of Properties Of Carbon Films Deposited Using Ar + H2+ C7H8 Plasma CVD, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
597. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Cluster Eliminating Filter on Extremely Thin a-Si:H Films Deposited by SiH4 Multi-Hollow Discharges, 第76回応用物理学会秋季学術講演会, 2015.09.
598. M. Shiratani, T. Ito, K. Koga, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, T. Kobayashi, S. Inagaki, Bispectrum analysis of fluctuation of nanoparticle amount in amplitude modulated capacitively-coupled discharge plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
599. M. Shiratani, M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, Position fluctuation of a fine particle optically trapped in Ar plasma, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
600. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Contribution of Clusters to SiH2 Bonds in a-Si:H Films, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
601. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Linear and Nonlinear Correlation between Deposition Rate and SiH Emission Intensity in SiH4 Multi-hollow Discharge Plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
602. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Third Generation Photovoltaics (Invited), The 1st Asian Workshop on Advanced Plasma Technology and Application, 2015.07.
603. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Second and Third Generation Photovoltaics (Invited), 2015 International Forum on Functional Materials (IFFM2015), 2015.06.
604. M. Shiratani, T. Sarinont, T. Amano, K. Koga, N. Hayashi, Improvement of Agricultural Productivity utilizing Plasmas, 2015 International Forum on Functional Materials (IFFM2015), 2015.06.
605. N. Itagaki, T. Ide, K. Matsushima, T. Takasaki, D. Yamashita, H. Seo, K. Koga, Masaharu Shiratani, Sputtering growth of single-crystalline ZnO films on c-sapphire substrates by using impurity-mediated crystallization method: Effects of surface morphology of buffer layers, 2015 E-MRS Spring Meeting and Exhibit, 2015.05.
606. M. Shiratani, X. Dong, K. Koga, N. Itagaki, H. Seo, G. Uchida, Site-selective Coating of Carbon Protective Layer on Sub-micron Trenches Using Plasma CVD, 42nd International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2015), 2015.04.
607. H. Seo, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Enhancement on the Photovoltaic Properties of Dye-Sensitized Solar Cells with Catalytically Activated Polymeric Counter Electrode, 2015 MRS Spring Meeting, 2015.04.
608. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Crystalline Ge film deposition between Au catalyst film and quartz glass substrate using magnetron sputtering, 2015 MRS Spring Meeting, 2015.04.
609. N. Itagaki, T. Takasaki, T. Nakanishi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, High-mobility amorphous In2O3:Sn films prepared by sputter deposition with nitrogen-mediated amorphization method, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
610. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Flow Rate on Deposition Rate and Amount of Si Clusters Incorporated into a-Si:H Films, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
611. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of ion irradiation on mass density of carbon films deposited by Hassisted plasma CVD, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
612. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
613. T. Amano, T. Sarinont, K. Koga, M. Shiratni, Effects of atmospheric pressure DBD plasma irradiation on growth of silkworms, The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.01.
614. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic application of Si nano-particles fabricated by underwater discharge (Invited), The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.01.
615. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Realization of highly stable a‐Si:H film by using cluster eliminating filter, The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
616. K. Koga, T. Ito, H. Seo, N. Itagaki, and M. Shiratani, Temporal development of nonlinear coupling between radicals and nanoparticles in reactive plasmas (Invited), The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
617. K. Koga, S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, M. Shiratani, Cluster suppressed deposition of a-Si:H films by employing non-linear phenomena in reactive plasmas (Invited), 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
618. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
619. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in liquid for solar cell applications, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
620. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of strained-induced ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
621. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Gas Residence Time Effects of Cluster Incorporation into a-Si:H Films, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
622. Strategy for Suppressing Fluctuations of Plasma Nano-processing.
623. Pressure Dependence of Size of Silver Nanoparticles Synthesized by RF Sputtering Plasma Irradiation to Ionic Liquid.
624. Optical Bandgap Energy Control of Nano-crystalline Si Films by using a Multi-hollow Discharge Plasma CVD Method.
625. Growth of Silkworms Irradiated by Atmospheric Pressure Air Plasmas.
626. T. Sarinont, T. Amano, K. Koga, M. Shiratani, P. Attri, Free Radical Formation by Atmospheric Pressure Plasmas for Different Gas Species, 第24回日本MRS年次大会, 2014.12.
627. 天野孝昭, サリノント タパナット, 古閑一憲, 平田美由紀, 田中昭代, 白谷正治, Synthesis of indium-containing nanoparticle using plasmas in liquid for evaluating their kinetics in living body, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
628. 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, Contributions of ion irradiation to the characteristics of a-C:H films deposited by H-assisted plasma CVD method, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
629. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, Evaluaion of Si-H2 bond formation of a-Si:H film using cluster eliminating filter, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
630. M. Shiratani, T. Sarinont, T. Amano, K. Koga, Multigeneration Study of Effects of Plasma Irradiation to Seeds of Arabidopsis Thaliana and Zinnia, 2014 MRS Fall Meeting, 2014.12.
631. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Perovskite Solar Cells on the Ratio of Lead Iodide and Methylammonium Iodide, 2014 MRS Fall Meeting, 2014.12.
632. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Sputtering Growth of Pseudobinary ZnO-InN Alloys with Tunable Band Gap for Application in Multi-Quantum Well Solar Cells, 2014 MRS Fall Meeting, 2014.12.
633. T. Sarinont, T. Amano, K. Koga, M. Shiratani, N. Hayashi, Effects of Atmospheric Air Plasma Irradiation to Plant Seeds on Chlorophyll and Carotenoids in Plant, 2014 MRS Fall Meeting, 2014.12.
634. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Strained Multi-Quantum Well Solar Cells Utilizing ZnInON, 2014 MRS Fall Meeting, 2014.12.
635. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Morphology Control of Buffer Layers for Growth of Single-Crystalline ZnO Films on Lattice Mismatched Substrates, 2014 MRS Fall Meeting, 2014.12.
636. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, and M. Shiratani, Synthesize of ZnO-based Semiconductor with Tunable Band Gap and Its Application in Multi-Quantum-Well Solar Cells, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
637. A. Tanaka, M. Hirata, K. Koga, M. Shiratani, M. Nakano, K. Omae and Y. Kiyohara, Adverse Health Effects of Indium Tin Oxide and Copper Indium Gallium Diselenide, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
638. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga and M. Shiratani, Optical Analysis on Perovskite Films According to CH3NH3I and PbI2 Concentration, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
639. Inter generation transport of Plasma Growth Enhancement to Arabidopsis Thaliana.
640. S. Toko, Y. Torigoe, Y. Kanemitu, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Effects of Gas Residence Time on Amount of Si Clusters Incorporated into a-Si:H Films, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
641. K. Koga, T. Ito, H. Seo, N. Itagaki, M. Shiratani, Analysis of coupling between nanoparticles and radicals using perturbation of radical density in reactive plasmas, Plasma Conference 2014, 2014.11.
642. N. Itagaki, T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Non-Equilibrium and Extreme State -High-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization-, Plasma Conference 2014, 2014.11.
643. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of dust deposition on optical characteristics of substrates, Plasma Conference 2014, 2014.11.
644. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall, Plasma Conference 2014, 2014.11.
645. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of crystalline Ge films using RF sputtering and metal catalyst, Plasma Conference 2014, 2014.11.
646. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Ge films for applying solar cells using RF magnetron sputtering and gold film catalyst, Global Photovoltaic Conference 2014, 2014.11.
647. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in water for organic/inorganic hybrid solar cells, Global Photovoltaic Conference 2014, 2014.11.
648. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. H. Nam, J. H. Boo, Improvement on charge transportation of Si quantum dot-sensitized solar cell using vanadium doped TiO2, Global Photovoltaic Conference 2014, 2014.11.
649. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization of Si nano-particles fabricated by multi-hollow discharge plasma chemical vapor deposition, Global Photovoltaic Conference 2014, 2014.11.
650. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Novel method of Ge crystalline thin film deposition on SiO2 by sputtering, 67th Annual Gaseous Electronics Conference, 2014.11.
651. K. Koga, T. Ito, K. Kamataki, H. Seo, N. Itagaki, and M. Shiratani, Effects of amplitude modulated VHF discharge on coupling between plasmas and nanoparticles, 24th International Toki Conference, 2014.11.
652. S. Toko, Y. Torigoe, Y. Kanemitsu, H. Seo, K. Koga, M. Shiratani, Cluster Incorporation Control by Hydrogen Silane Mixture in Multi Hollow Discharge Plasma CVD, 67th Annual Gaseous Electronics Conference, 2014.11.
653. T. Ito, D. Yamashita, H. Seo, K. KamatakiI, N. Itagaki, K. Koga, M. Shiratani, Modulation frequency dependence of bispectrum of laser light scattering intensity from nanoparticles formed in reactive plasmas, 67th Annual Gaseous Electronics Conference, 2014.11.
654. M. Shiratani, T. Ito, M. Soejima, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, Single Fine Particle Trapped in Ar Plasma by Optical Tweezers (Invited), 15th Workshop on Fine Particle Plasmas, 2014.10.
655. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of Dust Deposition on Optical Characteristics of Mirrors Installed on First Wall in LHD, 15th Workshop on Fine Particle Plasmas, 2014.10.
656. G. Uchida, D. Ichida, H. Seo, S. Hashimoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size-controlled Ge Nanoparticle Synthesis and Their Application to Quantum-dot Solar Cells, 15th Workshop on Fine Particle Plasmas, 2014.10.
657. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Development of an in-situ Detection Method of Dust Particles Deposited on Vessel Wall Using Quartz Crystal Microbalances, 15th Workshop on Fine Particle Plasmas, 2014.10.
658. M. Shiratani, K. Koga , Nanopartilce Composite Plasma CVD Films and Some Applications (Invited), 2014 ECS and SMEQ Joint International Meeting, 2014.10.
659. T. Sarinont, T. Amano, K. Koga, M. Shiratani, H. Nobuya, Influence of Atmospheric Air Discharge Plasma Irradiation on pH of Water, 第75回応用物理学会秋季学術講演会, 2014.09.
660. Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Characteristics of cluster eliminating filter for plasma CVD, 第75回応用物理学会秋季学術講演会, 2014.09.
661. M. Shiratani, H. Seo, N. Itagaki, G. Uchida, K. Koga, Plasma CVD nanostructured films for energy applications (Invited), 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
662. Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, and M. Shiratani, Effects of hydrogen dilution to nanoparticle formation in silane hydrogen mixture multi-hollow discharge plasmas, 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
663. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of tandem dye-sensitized solar cell based on mesh-type of counter electrode, 65th Annual Meeting of the International Society of Electrochemistry, 2014.09.
664. T. Sarinont, T. Amano, K. Koga, M. Shiratani, Evaluation of reducing sugar of plants irradiated by air dielectric barrier discharge plasmas , 15th IUMRS-International Conference in Asia, 2014.08.
665. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Counter electrode based on conductive polymer for cost-effective dye-sensitized solar cells, 15th IUMRS-International Conference in Asia, 2014.08.
666. K. Koga, Y. Morita, T. Ito, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, Spatiotemporal Analysis of Nanoparticle Growth in Amplitude Modulated Reactive Plasmas for Understanding Interactions between Plasmas and Nanomaterials (Invited), 15th IUMRS-International Conference in Asia, 2014.08.
667. M. Shiratani, H. Seo, K. Koga, N. Itagaki, Stability of nanoparticle growth processes in reactive plasmas, 15th IUMRS-International Conference in Asia, 2014.08.
668. S. Toko, Y. Hasahimoto, Y. Kanemitsu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Hysteresis of Cluster Amount in Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
669. T. Ito, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of optically trapped single fine particle in plasmas , 15th IUMRS-International Conference in Asia, 2014.08.
670. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Substrate Temperature on Defect Density of Ge Nanoparticle Films , 15th IUMRS-International Conference in Asia, 2014.08.
671. Y. Torigoe, Y. Hashimoto, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration Associated with Si-H2 Bonds in Films Deposited by High Frequency Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
672. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, Real Time Deposition Measurement of Dust Particles Generated by Plasma-Wall Interaction , 15th IUMRS-International Conference in Asia, 2014.08.
673. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si QD/PEDOT:PSS Hybrid Solar Cells Using Si Nanoparticles Fabricated by Multi-Hollow Plasma CVD , 15th IUMRS-International Conference in Asia, 2014.08.
674. T. Amano, K. Koga, T. Sarinont, N. Itagaki, M. Shiratani, N. Hayashi, Y. Nakatsu, T. Tsuzuki, M. Hirata, A. Tanaka, Synthesis of In nanoparticles using RF sputtering for evaluating nanoparticle kinetics in living body , 15th IUMRS-International Conference in Asia, 2014.08.
675. N. Itagaki, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Crystal Growth Control of Sputter-Deposited ZnO Films by Nitrogen-Mediated Crystallization Method , 15th IUMRS-International Conference in Asia, 2014.08.
676. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of a novel widegap semiconductor ZnGaON by magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
677. K. Matsuhima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of In-rich ZnInON films by RF magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
678. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of sputter-deposited ZnO films via nitrogen mediated crystallization: effects of nitrogen flow rate , 15th IUMRS-International Conference in Asia, 2014.08.
679. T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, RF Magnetron sputtering of low-resistive ZnO:Ga films with buffer layers fabricated via nitrogen mediated crystallization , 15th IUMRS-International Conference in Asia, 2014.08.
680. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani, Photovoltaic Application of Polymer: Dye-sensitized Solar Cell and Organic/inorganic Hybrid Solar Cell (Invited), International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
681. Y. Torigoe, C. Weiting, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Suppression of Cluster Incorporation into a-Si:H Films Using a Cluster Eliminating Filter, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
682. T. Amano, T. Sarinont, K. Koga, and M. Shiratani, Atmospheric Pressure DBD Plasma Irradiation to Seeds of Glycine max (L.)Merrill and Vigna radiata, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
683. T. Sarinont, T. Amano, K. Koga, and M. Shiratani, Long term effects of atmospheric air plasma irradiated on seeds of Zinnia, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
684. M. Shiratani and K. Koga, Plasma agriculture: what plasma can do for agriculture (Invited), 19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2014.07.
685. T. Amano, K. Koga, M. Shiratani, and A. Tanaka, Production of indium nanoparticles for nano-safety evaluation, 19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2014.07.
686. Y. Nakatsu, T. Tsuzuki, A. Tanaka, M.Hirata, K. Koga, and M. Shiratani, Pulmonary Toxic Effects of Indium-Tin Oxide Nanoparticles in Rats, 19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2014.07.
687. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Properties of Organic/Inorganic Hybrid Solar Cells with Improved Electrode, 2014 International Symposium on Green Manufacturing and Applications (ISGMA 2014), 2014.06.
688. T. Amano, K. Koga, M. Shiratani, and A. Tanaka, Indium nanoparticle synthesis using plasmas in water for nanoparticle transport analysis in living body, 26th Symposium on Plasma Physics and Technology, 2014.06.
689. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Quartz crystal microbalance measurements for in-situ evaluation of dust inventory in fusion devices, 26th Symposium on Plasma Physics and Technology, 2014.06.
690. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Real time mass measurement of dust particles deposited on vessel wall using quartz crystal microbalances in a divertor simulator, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
691. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust collection with dc-biased substrates in large helical device, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
692. K. Koga, T. Sarinont, T. Amano, and M. Shiratani, Effects of non-thermal air plasma irradiation to plant seeds on glucose concentration of plants, International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), 2014.05.
693. M. Shiratani, T. Sarinont, T. Amano, K. Koga, S. Kitazaki, and N. Hayashi , Enhancement of food energy efficiency using plasmas (Invited), 5th International Conference on Plasma Medicine (ICPM5), 2014.05.
694. T. Sarinont, T. Amano, K. Koga, and M. Shiratani, Effects of ambient gas species for plasma irradiation to seeds on plant growth promotion, 5th International Conference on Plasma Medicine (ICPM5), 2014.05.
695. T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, and M. Shiratani, Preservation of Growth Enhancement of Plants after Atmospheric Pressure DBD Plasma Irradiation, 5th International Conference on Plasma Medicine (ICPM5), 2014.05.
696. M. Shiratani, S. Toko, K. Koga, N. Itagaki, H. Seo, In-situ Measurements of Volume Fraction of cDusters in Films During Plasma CVD, International Conference on Metallurgical Coatings and Thin Films(ICMCTF 2014), 2014.05.
697. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Si/SiC coreshell nanoparticle composite anode for Li ion batteries, 2014 MRS Spring Meeting, 2014.04.
698. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Transport of fine particles produced by interactions between H2 plasmas and carbon wall, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
699. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Volume Fraction of Clusters in Si Thin Films Depoisted by Multi-Hollow Discharge Plasma CVD, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
700. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Qunatum-Dot Sensitized Solar Cells Using Ge Nanoparticle Films Deposited by High Pressure Rf Magnetron Sputtering Method, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
701. T. Sarinont, K. Koga, S. Kitazaki, N. Hayashi, M. Shiratani, Growth Enhancement of Plants Due to Plasma Atmospheric Pressure Irradiation to Seeds in Liquid, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
702. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida and M. Shiratani, Coupling between radicals in plasmas and nanoparticle growth in initial growth phase in reactive plasmas with amplitude modulation, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
703. K. Koga, Y. Hashimoto, S. Toko, D. Yamashita, Y. Torigoe, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Fabrication of highly stable a-Si:H solar cells by suppressing cluster incorporation (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
704. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Band gap control of nc-Si thin films deposited multi-hollow discharge plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
705. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Bispectrum analysis of nanoparticle growth in reactive dusty plasmas, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
706. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Cluster Amount in Downstream Region of Multi-Hollow Silane Discharge Plasmas Studied by Laser Light Scattering Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
707. Y. Torigoe,Y. Hashimoto, S. Toko, D. Yamashita, N. Itagaki, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Effects of Amplitude Modulation on Stability of Hydrogenated Amorphous Silicon Films Deposited using Multi-Hollow Discharge Plasma CVD Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
708. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Pressure on Deposition Rate of Anisotropic Plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
709. S. Hashimoto, D. Ichida, K. Kamataki, H. Seo, G. Uchida, N. Itagaki,, K. Koga, M. Shiratani, Effects of Hydrogen Dilution on Structure of Ge Quantum Dot Film Deposited by Using High Pressure rf Sputtering, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
710. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on lattice mismatch substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
711. T. Ito, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, and M. Shiratani, Evaluation of Interactions between Plasmas and Interface using Optically Trapped Single Fine Particle, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
712. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of InN-rich ZnInON films for photovoltaic appplications by RF magnetron sputtering, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
713. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Fabrication of Size-controlled Ge Nanoparticle Films Using High Pressure RF Sputtering Method for Quantum Dot Solar Cell, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
714. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Flux Control of Dust Particles Generated due to Interactions between Graphite Wall and H2 Plasmas using DC Biased Substrates, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
715. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization by RF Magnetron Sputtering Method, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
716. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
717. Y. Hashimoto, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective removal of clusters in silane plasmas by cluster eliminating filter, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
718. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Si nano-particles: Its quantum characteristics and application for photovoltaics (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
719. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of novel semiconductor ZnGaON with wide bandgap for optoelectronic devices, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
720. T. Sarinont, T. Amano, K. Koga, M. Shiratani, Effects of Atmospheric Air Plasma Treatments of Seeds of Oryza sativa,Raphanus sativus L. and Zinnia on Plant Growth, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
721. T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, M. Shiratani, Long Term Growth Curve of Raphanus sativus L. after Atmospheric Pressure DBD Plasma Irradiation, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
722. G. Uchida, D. Ichida, S. Hashimoto, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Photocurrent generation of quantum-dot sensitized solar cells using group-IV semiconductor nanoparticle films (Invited), 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
723. K. Koga, T. Sarinont, S. Kitazaki, N. Hayashi, M. Shiratani, Multi-generation evaluation of plasma growth enhancement to arabidopsis thaliana (Invited), 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
724. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
725. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
726. Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
727. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
728. Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
729. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
730. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
731. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
732. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
733. T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
734. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori, Pressure dependence of carbon film deposition using H-assisted plasma CVD, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
735. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Raman spectroscopy of a fine particle optically trapped in plasma, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
736. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Spatial profile of flux of dust particles in hydrogen helicon plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
737. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition of Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
738. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering fabrication of a novel widegap semiconductor ZnGaON for optoelectronic devices with wide bandgap for optical device, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
739. I. Suhariadi, K. Oshikawa, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Study on nitrogen desorption behavior of sputtered ZnO for transparent conducting oxide, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
740. A. Tanaka, M. Hirata, K. Koga, N. Itagaki, M. Shiratani, N. Hayashi, G. Uchida, Subacute toxicity of gallium arsenide, indium arsenide and arsenic trioxide following intermittent intrantracheal instillations to the lung of rats, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
741. T. Sarinont, K. Koga, S. Kitazaki, M. Shiratani, N. Hayashi, Effects of growth enhancement by plasma irradiation to seeds in water, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
742. T. Amano, T. Sarinont, S. Kitazaki, N. Hayashi, K. Koga, M. Shiratani, Long term growth of radish sprouts after atmospheric pressure DBD plasma irradiation to seeds, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
743. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited), 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
744. M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida, A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
745. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on sapphire substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
746. G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
747. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization and photovoltaic application of Si nano-particles fabricated by multi-hollow plasma discharge chemical vapor deposition, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
748. T. Kawasaki, K. Kawano, H. Mizoguchi, Y. Yano, K. Yamashita, M. Sakai, G. Uchida, K. Koga, M. Shiratani, Visualization of oxidizing substances generated by atmospheric pressure non-thermal plasma jet with water, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
749. N. Itagaki, K. Matsushima, I. Suhariadi, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Sputtering growth of single-crystalline ZnO-based semiconductors on lattice mismatched substrates (Invited), International Society for Optics and Photonics, Photonics West 2014, 2014.02.
750. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanoparticle composite plasma CVD films Fundamental and applications (Invited), The 9th EU-Japan Joint Symposium on Plasma Processing, 2014.01.
751. G. Uchida, H. Seo, D. Ichida, N. Itagaki, K, Koga, M. Shiratani, Solar cells using nanoparticles of group IV semiconductor aiming at MEG effects, 6th International Symposium on Innovative Solar Cells, 2014.01.
752. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Correlation between Plasma Fluctuation and Nanoparticle Amount in Initial Growth Phase in Reactive Plasmas with Amplitude Modulation, 14th Workshop on Fine Particle Plasmas, 2013.12.
753. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, LHD Experimental Group, Transport of Carbon Dust Particles Produced by Interactions between H2 Plasmas and Graphite Target, 14th Workshop on Fine Particle Plasmas, 2013.12.
754. M. Shiratani, K. Koga, Y. Morita, S. Iwashita, H. Seo, K. Kamataki, N. Itagaki, G. Uchida, Time evolution of spatial profile of nanoparticle amount in amplitude modulated capacitively coupled reactive plasmas, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
755. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Ge nanoparticle composite films and their application to solar cells, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
756. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage of Multi-Hollow Silane Discharges on Amount of Clusters Formed in the Discharges, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
757. 押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, Magnetron sputtering of low-resistive transparent conductive oxide films with double buffer layers fabricated via nitrogen mediated crystallization, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
758. I. Suhariadi, K. Oshikawa, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Morphological Characterization of ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization: Effects of Substrate Temperature, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
759. T. Sarinont, K. Koga, S. Kitazaki, M. Shiratani, N. Hayashi, Effects of Water on Interaction between Plant Growth and Plasma, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
760. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characteristics of crystalline Si nano-particles and their application for photochemical solar cells (Invited), 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
761. K. Koga, G. Uchida, D. Ichida, S. Hashimoto, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Quantum dot sensitized solar cells using group IV semiconductor nanoparticles (Invited), 2013 EMN Fall Meeting , 2013.12.
762. M. Shiratani, N. Itagaki., K. Matsushima, R. Shimizu, H. Seo, K. Koga, Novel metal oxinitride materials for optoelectronic applications (Invited), 2013 EMN Fall Meeting , 2013.12.
763. M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, K. Kamataki, Nanostructure control of Si-based solar cells using plasma CVD (Invited), THERMEC 2013, 2013.12.
764. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Control of plasma CVD films containing group IV nanoparticles (Invited), International Conference on Surface Engineering (ICSE 2013), 2013.11.
765. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani , Performance enhancement of Si quantum dot-sensitized solar cells based on Si functionalization and multi-layered structure , International Conference on Surface Engineering (ICSE 2013), 2013.11.
766. H. Seo, M.-K. Son, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Dye and Si Quantum Dot Co-sensitized Solar Cells for Performance Enhancement, The 2nd International Conference on Advanced Electromaterials (ICAE 2013), 2013.11.
767. T. Sarinont, K. Koga, M. Shiratani, Correlation between Species Generated by Atmospheric Pressure Air Plasmas and Growth Enhancement of Oryza Sativa, The 6th Thailand-Japan International Academic Conference 2013, 2013.11.
768. K. Koga, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Deposition of Ge Nanoparticle Films and Their Application to Ge Quantum-dot Sensitized Solar Cells, The 23rd International Photovoltaic Science and Engineering Conference, 2013.11.
769. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective Removal of Clusters in Silane Plasmas Using Cluster Eliminating Filter, The 23rd International Photovoltaic Science and Engineering Conference, 2013.10.
770. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Size-controlled Ge Nanoparticle Film by Highpressure rf Magnetron Sputtering for Quantum Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
771. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocurrent Generation Characteristics of Ge Quantum-Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
772. K. Matsushima, R. Shimizu, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, PS+TF-MoA11 Two-dimensional Growth of Novel ZnO based Semiconductor ZnInON with Tunable Bandgap by Magnetron Sputtering, AVS 60th International Symposium and Exhibition, 2013.10.
773. A. Tanaka, M. Hirata, K. Koga, N. Hayashi, M. Shiratani and Y. Kiyohara, Pulmonary toxicity of copper indium gallium diselenide particles in rats, The 6th International Symposium on Nanotechnology, Occupational and Environmental Health, 2013.10.
774. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The performance enhancement of dye and quantum dot hybrid nanostructured solar cell with barrier coating, Taiwan Associatoin for Coatings and Thin Films Technology, 2013.10.
775. M. Shiratani, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, N. Itagaki, K. Koga, Cluster control plasma CVD for fabrication of stable a-Si:H solar cells, 66th Annual Gaseous Electronics Conference , 2013.10.
776. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Ar/N2 Magnetron Sputtering Discharges to Control Growth of Transparent Conducting Oxide Films, 66th Annual Gaseous Electronics Conference , 2013.10.
777. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster incorporation during amplitude modulated VHF discharge silane plasmas, 66th Annual Gaseous Electronics Conference , 2013.10.
778. R. Shimizu, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of ZnGaInON with tunable bandgap for photovoltaics, 66th Annual Gaseous Electronics Conference , 2013.10.
779. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in quantum-dot sensitized solar cells using Ge nanoparticle films, Solid State Devices and Materials 2013 (SSDM) , 2013.09.
780. N. Itagaki, K. Oshikawa, I. Suhariadi, K. Matsushima, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Crystallinity Control of Sputtered ZnO:Al Transparent Conducting Films by Utilizing Buffer Layers Fabricated via Nitrogen Mediated Crystallization, Solid State Devices and Materials 2013 (SSDM), 2013.09.
781. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
782. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, U. Czarnetzki, Carbon Nanostructure formed by high pressure methane plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
783. M. Shiratani, D. Yamashita, K. Koga, K. Kamataki, N. Itagaki, G. Uchida, Plasma Etching of Single Fine Particle Trapped By Optical Tweezers, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
784. N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G.Uchida, K. Koga, M. Shiratani, Synthesis and Characterization of Oxynitride Semiconductor ZnInON with Tunable Bandgap, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
785. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Amplitude modulation frequency dependence of nanoparticle amount in plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
786. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Dependence of Volume Fraction of Si Clusters in Films on Amplitude Modulation Frequencyin Multi-Hollow Discharge Plasma CVD Method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
787. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of filter gap of cluster eliminating filter on cluster eliminating efficiency, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
788. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
789. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on structure of Ge nanoparticle films deposited by high-pressure RFmagnetron sputtering method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
790. G. Uchida, D. Ichida, Y. Kanemitsu, H. Seo, K. Kamataki, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Production of SiC nanoparticles for Li-ion battery applications by using a high pressuremulti-hollow discharge plasma CVD, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
791. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time Evolution of Ar Emission Intensities of Ar + H2 + Toluene Plasmas in H-assistedPlasma CVD Reactor, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
792. T. Kawasaki, M. Sakai, G. Uchida, K. Koga, M. Shiratani, Control of the area irradiated by the sheet-type plasma jet in atmospheric pressure, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
793. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi, Time evolution of growth enhancement effects of radish sprouts due to atmospheric pressureplasma irradiation, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
794. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Band-Gap Engineering of ZnO Based Semiconductors Deposited by Sputtering, 2013 JSAP-MRS Joint Symposia, 2013.09.
795. K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki and G. Uchida, Comparative Acute Pulmonary Toxicity of Different Types of Indium-Tin Oxide Following Intermittent Intratracheal Instillation to the Lung of Rats, 2013 JSAP-MRS Joint Symposia, 2013.09.
796. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Hayashi, Combinatorial Method of Plasma Irradiation to Seeds of Raphanus sativus L., 2013 JSAP-MRS Joint Symposia, 2013.09.
797. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, M. Shiratani and N. Hayashi, Effects of Atmospheric Air Plasma Irradiation on pH of Deionized Water, 2013 JSAP-MRS Joint Symposia, 2013.09.
798. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Si Quantum Dot-Sensitized Solar Cells on Counter Electrode, 2013 JSAP-MRS Joint Symposia, 2013.09.
799. Fabrication of highly stable a-Si:H PIN solar cells using cluster suppression method.
800. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Contribution of ionic deposition precursors to Si thin film deposition, Dry Process Symposium 2013, 2013.08.
801. Y. Kim, S. Toko, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Effects of amplitude modulation of rf discharge voltage on silane plasmas in initial phase, Dry Process Symposium 2013, 2013.08.
802. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Deposition of Ge nanoparticle films by high-pressure rf magnetron sputtering method for quantum dot solar cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
803. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani and N. Hayashi, Effects of atmospheric air plasma treatments of seeds on plant growth, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
804. R. Shimizu, K. Matsushima, Tadashi Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of ZnInON films with Tunable Bandgap for Heterojunction Solar Cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
805. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Time evolution of spatial profile of nanoparticle amount in reactive plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
806. M. Shiratani, K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki, G. Uchida, Safety Issues on Plasma Life Sicences (Invited), The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
807. M. Shiratani, Y. Morita, S. Iwashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Tuning Size Distribution of Nanoparticles Formed in Reactive Plasmas using Plasma Parameter Modulation, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
808. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. Nam, J. Boo, Performance Enhancement of Si Quantum Dot-sensitized Solar Cell Using vanadium Doped TiO2, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
809. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, M. Shiratani and U. Czarnetzki, Formation of self-organized nanostructures using high pressure CH4+Ar plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
810. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Characteristics of GeSn nanoparticle films deposition by high-pressure rf magnetron sputtering method, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
811. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Coreshell nanoparticles generated by plasma CVD and their applications to Li ion batteries, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
812. Y. Morita, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, D. Yamashita and M. Shiratani, Observation of nanoparticle growth process using high speed camera, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
813. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, U. Czarnetzki, High Pressure Nonthermal Methane Plasmas for Nanoparticle Production, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
814. M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga, Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
815. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Combinatorial plasma CVD of Si nanoparticle composite films for band gap control, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
816. D. Ichida, G. Uchida, H. Seo, K. Kamatakia, N. Itagaki, K. Koga, M. Shiratani, Effects of H2 gas addition on structure of Ge nanoparticle films deposited by high-pressure rf magnetron sputtering method, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
817. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani, Infrared Laser Manipulation of Single Fine Particle Levitated at Plasma/Sheath Boundary, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
818. Y. Morita, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Transport of nanoparticles produced in reactive plasmas using a positively-biased collector, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
819. T. Sarinont, S. Kitazaki, K. Koga, G.u Uchida, M. Shiratani, T. Murakami, N. Hayashi, Correlation between Species Generated by Atmospheric Pressure Air Plasmas and Growth Enhancement of Oryza Sativa, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
820. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of grid DC bias on incorporation of Si clusters into amorphous silicon films by multi-hollow discharge plasma CVD, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
821. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group d, S. Bornholdte, H. Kersten, Effects of plasma incident angle of dust production due to interactions between hydrogen plasmas and carbon wall, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
822. I. Suhariadi, K. Oshikawa, H. Seo, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Study on the crystal growth mechanism of ZnO films fabricated via nitrogen mediated crystallization, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
823. X. Dong, R. Torigoe, K. Koga, G. Uchida, M. Shiratani, Y. Setsuhara, K. Takenaka, M. Sekine, M. Hori, Deposition of carbon films on PMMA using H-assisted plasma CVD, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
824. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Layer by layer deposition of ZnO buffer layers fabricated via nitrogen mediated crystallization for ZnO:Al transparent conducting oxide, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
825. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, and M. Shiratani, Sputter Deposition of Single Crystal ZnO Films on 18% Lattice mismatched c-Al2O3 Substrates via Nitrogen Mediated Crystallization, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
826. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, and N. Hayashi, Combinatorial Approach to Plasma Biosciences (Invited), 2013 International Forum on Functional Materials (IFFM2013), 2013.06.
827. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis on the paint-type Si quantum dot-sensitized solar cells
, International Symposium on Green Manufacturing and Applecations, 2013.06.
828. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, and K. Kamataki, Control of nanostructure of plasma CVD Si thin films (Invited), Japan-Australia Workshop on Gaseous Electronics and Its Applications (JAWS25), 2013.06.
829. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust flux control in high density hydrogen plasmas using DC biased substrates, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
830. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Volume fraction of clusters in Si thin films in initial deposition phase of plasma CVD, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
831. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and K. Koga, Advanced plasma sources and processes for energy harvesting devices (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
832. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Advance in quantum dot-sensitized solar cells using group IV semiconductor nano-particles (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
833. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanostructure of plasma CVD films containing nanoparticles (Invited), International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2013), 2013.04.
834. 金淵元, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Silicon cluster volume fraction of silicon thin films prepared by multi-hollow plasma discharge CVD, 2013年第60回応用物理学会春季学術講演会, 2013.03.
835. S. Iwashita, E. Schüngel, J. Schulze, P. Hartmann, Z. Donkó, G. Uchida, K. Koga, M. Shiratani, U. Czarnetzki, Control of distribution and transport of μm-sized dust particles in a CCRF discharge via the Electrical Asymmetry Effect, Jena 2013 , 2013.02.
836. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Fluctuation in Plasma Processes (Invited), 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
837. U. Czarnetzki, S. Iwashita, E. Schüngel, S. Mohr, J. Schulze, P. Hartman, Z. Donkó, G. Uchida, K. Koga, M. Shiratani, Dust and Dust Manipulation in Capacitively Coupled Plasmas (Invited), The 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
838. S. Kitazaki, T. Sarinont, K. Koga, M.Shiratani, N. Hayashi, Growth promotion of Raphanus sativus L. using a combinatorialplasma irradiation method, The 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
839. K. Koga, D. Yamashita, G. Uchida, M. Shiratani, U. Czarnetzki, Characteristics of high pressure Ar+CH4 nanosecond discharge plasmas for producing nanoparticles, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
840. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of zinc-indium oxynitride semiconductors with narrow bandgap for excitonic transistors, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
841. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, Cycle characteristics of lithium ion batteries using Si/SiC core-shell nanoparticle anode, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
842. Y. Hashimoto, K. Hatozaki, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of Voc of a-Si:H solar cells on distance between discharge and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
843. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, and N. Itagaki, Effects of deposition temperature on properties of epitaxial ZnInON films for solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
844. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Effects of Nitrogen on Crystal Growth of Sputter-Deposited ZnO Films for Transparent Conducting Oxide, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
845. G. Uchida, Y. Wang, H. Seo, D. Ichida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Energy conversion efficincy of Si nanoparticle/PEDOT:PSS qunatum-dot solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
846. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and The LHD Experimental Group , Evaluation of etching effects due to H+ ions on dust transport using local bias potential, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
847. Y. Wang, D. Ichida, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Properties and Performance of C-Si/Si QDs/organic Heterojunction Solar Cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
848. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Sanghoon Nam and Jin-Hyo Boo, The improvement on the electron transfer of dye-sensitized solar cell using vanadium doped TiO2, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
849. N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Antioxidative activity of plant and regulation of plant growth induced by oxygen radicals, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
850. T. Sarinont, S. Kitazaki, G. Uchida, K. Koga, M. Shiratani, N. Hayashi, Growth Enhancement of Plants using Atmospheric Pressure Dielectric Barrier Discharge Plasmas, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
851. K. Koga, D. Yamashita, G. Uchida, M. Shiratani, Single particle trapping in plasmas using laser for studying interaction between a fine particle and palsams, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
852. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Dependence of performance of p-i-n a-Si:H solar cells using stable a-Si:H films on distance between discahrges and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
853. K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Spatio-temporal structure of growth of nano-particles with without amplitude modulation in reactive plasmas, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
854. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, Time evolution of nanoparticle size in reactive plasmas: comparison between theory and experiments, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
855. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of silcion cluster volume fraction in silicon thin films measured with quartz crystal microbalances, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
856. H. Seo, Y. wang, D. Ichida, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The fabrication of paint-type Si quantum dot-sensitized solar cells and ZnS post-treatment (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
857. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Tuning nanostructures of plasma CVD films (Plenary), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
858. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of atomically-flat ZnO films on lattice mismatched substrates via nitrogen mediated crystallization (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
859. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition rate dependence of silicon cluster volume fraction in silicon thin films deposited by multi-hollow plasma discharge CVD, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
860. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for MQW solar cells by RF magnetron sputtering, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
861. K. Koga, Time and space profiles of laser-light scattering intensity from nano-particles and optical emission intensity in amplitude modurated high frequency discharge plasmas, The International LIGLR Workshop on Plasma Science & Technology, 2013.01.
862. G. Uchida, H. Seo, Y. Wang, D. Ichida, K. Koga, M. Shiratani, Quantum yield of Si quantum dot solar cells using Si nanoparticles, 第5回革新的太陽光発電国際シンポジウム, 2013.01.
863. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Etching Effects of Hydrogen Ions on Dust Collection Using Local Bias Potential, 2013 International Symposium on Information Science and Electrical Engineering, 2013.01.
864. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Electrochemical impedance analysis on the additional layers for the enhancement on the performance of dye-sensitized solar cell, 10th International Conference on Nano-Molecular Electronics, 2012.12.
865. G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, T. Ishihara, and M. Shiratani, Application of Si nanoparticles to energy devices: quantum-dot solar cells and Li ion batteris (Invited), The 69th IUVSTA Workshop, 2012.12.
866. K. Koga, Y. Wang, D. Ichida, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Deposition of Si nanoparticle composite films for C-Si/Si QDs/organic Solar Cells, 第13回微粒子プラズマ研究会, 2012.12.
867. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga , A simplified model of a relationship between plasma fluctuation and size distribution of nanoparticles formed in reactive plasmas, 第13回微粒子プラズマ研究会, 2012.12.
868. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagar2, and the LHD Experimental Group, Dust Collection on DC Biased Substrates during Glow Discharges in the Large Helical Device, 第13回微粒子プラズマ研究会, 2012.12.
869. M. Tateishi, S. Iwashita, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust particle formation due to interaction between deuterium helicon plasmas and graphite wall, 第13回微粒子プラズマ研究会, 2012.12.
870. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, SiC/Si nanoparticle anode of lithium ion batteries fabricated using double multi-hollow plasma CVD, 第13回微粒子プラズマ研究会, 2012.12.
871. S. Iwashita, E. Sch¨unge, J. Schulze, P. Hartmann, G.Uchida, K. Koga, M. Shiratani, Z. Donk'o, U. Czarnetzki, Transport control of dust particles in a capacitively coupled discharge via the Electrical Asymmetry Effect, 第13回微粒子プラズマ研究会, 2012.12.
872. N. Itagaki, K. Kuwahara, K. Matsushima, T. Hirose, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Epitaxial Growth of ZnO Based Semiconductors via Impurity-Additive Mediated Crysallization, 2012 MRS Fall Meeting, 2012.11.
873. K. Koga, Plasma Chemical Vapor Deposition for Solar Cells (Invited), 2012 Workshop on Advanced Surface and Material Technologies, 2012.11.
874. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors, The 34th International Symposium on Dry Process , 2012.11.
875. Y. Wang, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of c-Si/organic heterojunction solar cells by using Si quantum dots, The 34th International Symposium on Dry Process , 2012.11.
876. S. Kitazaki, T. Sarinont, K. Koga, M. Shiratani, N. Hayashi, Growth promotion of Raphanus sativus L. and Oryza sativa using a combinatorial plasma irradiation method, The 34th International Symposium on Dry Process , 2012.11.
877. N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Plant growth regulation and redox reactions in plants induced by oxygen radical generated by air plasma, The 34th International Symposium on Dry Process , 2012.11.
878. 古閑一憲, 岩下伸也, 内田儀一郎, J. Schulze, E. Schungel, P. Hartmann, 白谷正治, Z. Donko, U. Czarnetzki, Electrical Asymmetry Effectを用いた微粒子のシース間輸送, 九州山口プラズマ研究会、応物新領域研究会, 2012.11.
879. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of ZnInON Films for Piezo-Electric-Field Effect MQW Solar Cells, AVS 59th International Symposium & Exhibition, 2012.11.
880. Y. Morita, K. Kamataki, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4/H2 and CH4 multi-hollow discharge plasma CVD of SiC nano-composite anode for high charge-discharge capacity lithium ion batteries, AVS 59th International Symposium & Exhibition, 2012.11.
881. K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of atomically smooth ZnO films with buffer layers crystallized via nitrogen mediation, AVS 59th International Symposium & Exhibition, 2012.11.
882. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Long-range correlation of nanoparticle growth in in low pressure reactive VHF discharge plasmas, 54th Annual Meeting of the APS Division of Plasma Physics (DPP), 2012.10.
883. G. Uchida, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in Si quantum-dot sensitized solar cells, AVS 59th International Symposium & Exhibition, 2012.10.
884. S. Iwashita, E. Schuengel, J. Schulze, G. Uchida, K. Koga, P. Hartmann, M. Shiratani, Z. Donko, U. Czarnetzki, Electrical asymmetry effect for controlling the transport of micrometer-sized particles in capacitively coupled plasmas, 65th Annual Gaseous Electronics Conference (GEC), 2012.10.
885. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Impacts of plasma fluctuation on growth of nanoparticles in low pressure reactive VHF discharge plasmas, 65th Annual Gaseous Electronics Conference (GEC), 2012.10.
886. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Control of Dust Flux in LHD and in a Divertor Simulator, 24th Fusion Energy Conference (IAEA) , 2012.10.
887. K. Koga, S. Iwashita, M. Shiratani, U. Czarnetzki, Formation of Nanoparticles in High Pressure Reactive Nanosecond Discharges, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
888. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, Fabrication of ZnO Buffer Layers via Nitrogen-Mediated Crystallization for ZnO:Al Transparent Conducting Oxide: Effects of Oxygen Addition, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
889. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of amount of Si clusters incorporated during film deposition using quartz crystal microbalances, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
890. T. Sarinont, S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Germination and Seedling Growth using Atmospheric Pressure Dielectric Barrier Discharge, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
891. S. Kitazaki, T. Sarinont, K. Koga, M. Shiratani, N. Hayashi, Investigation of sensitivity of yeast cell cycle to atmospheric pressure dielectric barrier discharge plasma irradiation, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
892. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, Control of size distribution of nanoparticles produced in reactive plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
893. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Thin Films for Multiple-Quantum–Well Solar Cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
894. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for solar cell application by N2/Ar sputtering, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
895. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, High capacity lithium ion batteries using SiC nanoparticles, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
896. K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of epitaxial ZnO films: effects of O2 partial pressure, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
897. Y. Hashimoto, K. Hatozaki, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Temperature dependence of fill factor of a-Si:H Schottky cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
898. D. Yamashita, K. Koga, S. Kitazaki, K. Kamataki, G. Uchida, N. Itagaki, M. Shiratani, Time evolution of diameter of laser trapped single dust particle in plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
899. R. Torigoe, T. Urakawa, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setshuhara, Deposition of hard carbon films on the nitride pmma by plasma anisotropic CVD, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
900. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Control Of ZNO Nano-Rod With Various Seeds And Photovoltaic Application, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
901. G. Uchida, N. Sadegh, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Temporal Evolution Of Number Density Of Ar Metastable Atoms In Dusty Plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
902. N. Itagaki, K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Semiconductors for Piezo-Electric-Field Effect MQW Solar Cells, IUMRS‐ICEM 2012 , 2012.09.
903. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using a cluster eliminating filter, IUMRS‐ICEM 2012 , 2012.09.
904. Y. Wang, J. Boo, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of V-doped TiO2 on Performance Si QDSCs, IUMRS‐ICEM 2012 , 2012.09.
905. H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Enhancement of light harvesting efficiency with multi-layered electrode of Si quantum dot-sensitized solar cells, IUMRS‐ICEM 2012 , 2012.09.
906. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Zn-In-ON films with tunable optical bandgap for photovoltaic applications, IUMRS‐ICEM 2012 , 2012.09.
907. I. Suhariadi, K. Oshikawa, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO Films via Nitrogen-Mediated Crystallization as Buffer Layers for ZnO:Al Transparent Conducting Oxide, IUMRS‐ICEM 2012 , 2012.09.
908. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Hydrogenated Amorphous Silicon Solar Cells Fabricated by a Multi-hollow Discharge Plasma CVD Method, IUMRS‐ICEM 2012 , 2012.09.
909. K. Kuwahara, N. Itagaki, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, ZnO films with buffer layers crystallized via nitrogen mediation: effects of thickness of buffer layers, IUMRS‐ICEM 2012 , 2012.09.
910. R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition of hard carbon films by using H-assisted plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
911. G. Uchida, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, An infrared laser trap of a single dust particle for study of plasma-surface interactions , IUMRS‐ICEM 2012 , 2012.09.
912. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si quantum-dot thin films for solar cell applications using multi-hollow discharge plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
913. K. Kamataki, M. Shiratani, T. Ishihara, H. Nagano, Y. Morita, K. Kuwahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, High Capacity Li Ion Battery Anodes Using Silicon Carbide Nanoparticles Produced by Double Multi-Hollow Discharge Plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
914. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances, IUMRS‐ICEM 2012 , 2012.09.
915. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Selective deposition of nanoparticles to valleys of texture substrates , IUMRS‐ICEM 2012 , 2012.09.
916. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, A Theoretical Model of a Relationship between Plasma Fluctuation and Nanostructure Fluctuation, IUMRS‐ICEM 2012 , 2012.09.
917. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, In-situ Measurements of Size Distribution of Nanoparticles Formed in Reactive Plasmas Using a Laser Light Scattering Method, IUMRS‐ICEM 2012 , 2012.09.
918. K. Koga, D. Yamashita, S. Kitazaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Optical Trapping of Single Fine Particle in Plasmas for study of interactions between a fine particle and plasmas, NANOSMAT 2012, 2012.09.
919. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on the fabrication of paint-type Si quantum dot-sensitized solar cells, International Conference on Electronic materials and Nanotechnology for Green Environment (ENGE 2012), 2012.09.
920. K. Koga, T. Urakawa, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile and properties of plasma CVD carbon films, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
921. N. Itagaki, I. Suhariadi, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
922. M. Shiratani, G. Uchida, K. Koga, R. Torigoe, T. Urakawa, Plasma CVD of hard carbon films on PMMA, 2012 International Conference on Flexible and Printed Electronics (ICFPE2012), 2012.09.
923. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, N. Hayashi, Growth Enhancement of Plants by Combinatorial Plasma Irradiation, The 9th International Bioelectrics Symposium (BIOELECTRICS 2012) , 2012.09.
924. H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki and M. Shiratani, The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si, International Union of Materials Research Society – International Conference in Asia – 2012 (IUMRS-ICA-2012) , 2012.08.
925. M. Shiratani, N. Itagaki, K. Koga, Uchida G., K. Kamataki, H. Seo, Nanostructure control of thin films depoisted by plasmas and its application to fabrication of green energy devices (Invited), (APT2012) The 2nd Advanced Plasma Technology for Green Energy and Biomedical Applications, 2012.08.
926. H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, M. Shiratani, M. Son, H. Kim, The effects of the electrolyte composition on the performance of Si quantum dot-sensitized solar cells, 63rd Annual Meeting of the International Society of Electrochemistry, 2012.08.
927. G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si nano-particle films for Si quantum-dots sensitized solar cells, The 6th International Conference on Technological Advances of Thin Films and Surface Coating, 2012.07.
928. S. Iwashita, G. Uchida, J. Schulze, E. Sch¨ungel, P. Hartmann, K. Koga, M. Shiratani, Zolt´an Donk´o, U. Czarnetzki, Development of dust particle manipulation method in capacitively coupled plasmas via Electrical Asymmetry Effect, 22th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG2012), 2012.07.
929. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Impacts of plasma fluctuation on nanoparticle growth in reactive plasmas, IEEE ICOPS2012, 2012.07.
930. R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Plasma etching resistance of plasma anisotropic CVD carbon films, IEEE ICOPS2012, 2012.07.
931. G. Uchida, K. Kamataki, H. Seo, Y. Morita, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Application of SiC nanoparticle films to energy conversion devices: lithium ion batteries and quantum-dot solar cells (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
932. Y. Hashimoto, K. Hatozaki, Y. Kim, G.u Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films with a cluster eliminating filter, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
933. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON films with high crystallinity for photovoltaic applications, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
934. Y. Morita, K. Kamataki, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, M. Shiratani, Observation of growth of nano-particles using a high speed camera, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
935. H. Seo, Y. wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The fabrication of multi-layered Si quantum dot-sensitized solar cells for better light harvesting (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
936. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of Substrate DC Bias Voltage on Dust Collection Efficiency in Large Helical Device, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
937. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki,, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge Power Dependence of Carbon Dust Flux in a Diverter Simulator, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
938. S. Iwashita, J. Schulze, E. Schüngel, G. Uchida, K. Koga, M. Shiratani, P. Hartmann, Z. Donkó, U. Czarnetzki , Control of transport of micrometer-sized particles in capacitively coupled plasmas , 13th Workshop on the Physics of Dusty Plasma (WPDP2012), 2012.05.
939. M. Shiratani, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition, 2012 MRS Spring Meeting, 2012.04.
940. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Study for Chracterization of Nanoparticle-Suppressed Plasma CVD for Microcrystalline Film Deposition, 2012 MRS Spring Meeting, 2012.04.
941. H. Seo, Y. Kim, M. Sato, Y. Wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The analysis on the optical properties of size-controllable Si nano-particles by multi-hollow plasma discharge CVD, 2012 MRS Spring Meeting, 2012.04.
942. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Effects of Atmospheric Pressure Dielectric Barrier Discharges Irradiation on Yeast Growth, 2012 MRS Spring Meeting, 2012.04.
943. Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Influence of Atmospheric Pressure Torch Plasma Irradiation on Plant Growth, 2012 MRS Spring Meeting, 2012.04.
944. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Rapid growth of radish sprouts using low pressure oxygen radio frequency plasma irradiation, 2012 MRS Spring Meeting, 2012.04.
945. K. Koga, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Effects of incorporation of clusters generated in the plasma ignition phase on Schottky cell performance of amorphous silicon films, The Fourth International Workshop on Thin-Film Silicon Solar Cells (IWTFSSC-4), 2012.03.
946. K. Koga, K. Kamataki, S. Nunomura, S. Iwashita, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, U. Czarnetzki, Three growth modes of nanoparticles generated in reactive plasmas, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
947. S. Iwashita, G. Uchida, J. Schulze, E. Schüngel, K. Koga, M. Shiratani, P. Hartmann, Z. Donko, U. Czarnetzki, Control of transport and distribution of dust particles in capacitively coupled plasmas, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
948. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth control of dry yeast using atmospheric pressure dielectric barrier discharge plasma irradiation, The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
949. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Plasma fluctuation and plasma nanotechnologies (Invited), The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
950. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Properties of microcrystalline silicon thin films with/without silicon nanoparticles deposited by multi-hollow plasma CVD, The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
951. M. Shiratani, K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, A-Si:H Schottky Cells with Quite Low Light Induced Degradation Fabricated by Multi-hollow Discharge Plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
952. I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, K. Nakahara, D. Yamashita, H. Seo, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effect of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
953. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Etching rate of carbon films deposited by H-assisted plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
954. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Interaction between amplitude modulated plasmas and nanoparitcles growth in the plasmas, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
955. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Chracteristics of Nanoparticle-supressed CVD Plasmas for Microcrystalline Silicon Film Deposition, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
956. H. Seo, M. Sato, Y. Wang, Y. Kim, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the adhesion of Si nano-particles for Si quantum dot-sensitized solar cells, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
957. G. Uchida, Y. Wang, M. Sato, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Control of dust-particle transportation in multi-frequency capacitively coupled radio frequency discharge, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
958. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. MasuzakiI, K. Nishimura, A. sagara, The LHD Expreimental Group, S. Bornholdt, H. Kersten, Mesurements of energy influx towards a graphite target in helicon H2 discharge plasmas using a calorimetric probe , 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
959. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Measurements of Nitric Oxide Generated from Dry Yeast Irradiated by Dielectric Barrier Discharge Plasmas, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
960. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Impacts of plasma fluctuation on nanostructure formation using plasmas (Invited), The Third International Symposium on Plasma Nanoscience (iPlasmaNano-III), 2012.02.
961. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of carbon films on fine trench using low temperature H-assisted plasma CVD method, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
962. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of nano-particles on multi-hollow discharge CVD plasmas for microcrystalline silicon thin film deposition, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
963. M. Shiratani, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, Recent progress in frontier science of interactions between plasmas and nano-interfaces (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
964. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films with a cluster eliminating filter, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
965. K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, M. Sato, H. Matsuzaki, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Deposition of p-type a-Si:H using SiH4+ B10H14 multi-hollow discharge plasma CVD as a window layer for pin cell, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
966. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trench structure using plasma anisotropic CVD method, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
967. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge power dependence of dust flux in helicon discharge reactor, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
968. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust Removal by Applying Bias Voltage to Reactor Wall in Large Helical Device, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
969. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, Effect of N2/Ar Flow Rate Gas Ratio on the AZO Thin Films with Buffer Layers Deposited via Nitrogen Mediated Crystallization, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
970. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of deposition temperature on the properties of ZnO films fabricated via nitrogen mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
971. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of Nano-particles on Properties of Microcrystalline Silicon Thin Films Fabricated using Multi-hollow Discharge CVD Plasmas, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
972. T. Matsunaga, Y. Kim, K. Koga, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Effects on nano-patricls on crystalline orientation of microcrystalline silicon films for solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
973. K. Matsushima, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Novel Oxynitride Semiconductors by Magnetron Sputtering for Photovoltaic application, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
974. K. Oshikawa, I. Suhariadi, K. Kuwahara, D. Yamashita, Seo H., G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO-Based Transparent Conducting Films on Buffer Layers Crystallized via Nitrogen Mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
975. K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, High stabilization of a-Si:H films by discharge plasma control, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
976. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Optimization of Redox Electrolyte for Higher Performance of Si Quantum Dot-sensitized Solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
977. H. Seo, M. Sato, Y. wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the combination between TiO2 and Si nano-particles for higher performance of Si quantum dot-sensitized solar cells (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
978. R. Torigoe, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Bias voltage dependence of mass density of plasma CVD carbon films, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
979. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth characteristics of bread yeast using atmospheric pressure dielectric barrier discharge irradiation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
980. M. Sato, G. Uchida, H. Seo, Y. Wang, K. Nakahara, T. Matsunaga, K. Koga, M. Shiratani, Quantum Efficiency of Quantum Dot-Sensitized Solar Cells Using Nitridated Si Nano-Particles Produced by Double Multi-Hollow Discharges PECVD, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
981. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Performance of quantum dot sensitized solar cells with nitridated Si nanoparticle films, 第21回日本MRS学術シンポジウム, 2011.12.
982. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio, 第21回日本MRS学術シンポジウム, 2011.12.
983. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dot-sensitized Solar Cells by Surface Modification Using ZnO barrier layer and 400nm TiO2 Particles, 第21回日本MRS学術シンポジウム, 2011.12.
984. Y. Akiyoshi, A. Nakahigashi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Effect of Atmospheric Pressure Torch Plasma Irradiation on Plant Cells, 第21回日本MRS学術シンポジウム, 2011.12.
985. T. Urakawa, R. Torigoe, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Substrate dc bias voltage dependence of mass density of carbon films deposited using H-assisted plasma CVD, 第21回日本MRS学術シンポジウム, 2011.12.
986. M. Shiratani, K. Nakahara, K. Hatozaki, H. Seo, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Stable a-Si:H Schottky Cells Fabricated by Multi-hollow Discharge Plasma CVD, 第21回日本MRS学術シンポジウム, 2011.12.
987. N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
988. T. Matsunaga, Kim Y., K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
989. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
990. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, A study of optical emission spectroscopy on microcrystalline silicon film using multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
991. K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
992. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, H. Seo, G. Uchida, K. Koga, M. Shiratani, Properties and performance of si quantum dot-sensitized solar cells with low temperature titania paste, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
993. M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, K. Koga, M. Shiratani, Quantum dot-sensitized solar cells using nitridated si nanoparticles produced by double multi-hollow discharges, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
994. K. Hatozaki, K. Nakahara, G. Uchida, K. Koga, M. Shiratani, Stable schottky solar cells using cluster-free a-si:h prepared by multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
995. M. Shiratani, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Control of size distribution of nanoparticles in reactive plasmas by using AM rf discharges, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
996. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of substrate bias voltage on dust collection efficiency, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
997. T. Mieno, K. Koga, M. Shiratani, Production and coagulation of carbon clusters by plasma methods, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
998. Low resistive ZnO:Al films with ZnO buffer layers fabricated by Ar/N2 magnetron sputtering.
999. K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD, Plasma Conference 2011 (PLASMA2011), 2011.11.
1000. Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Influence of Atmospheric Pressure Torch Plasma Irradiation on Plant Growth, 64th Gaseous Electronics Conference , 2011.11.
1001. M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trenched substrate by simultaneous plasma CVD and plasma etching, 64th Gaseous Electronics Conference , 2011.11.
1002. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface modification using ZnO barrier layer, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
1003. N. Hayashi, Y. Akiyoshi, S. Kitazaki, K. Koga, M. Shiratani, Influence of active oxygen species produced by atmospheric torch plasma on plant growth, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
1004. T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Optical emission spectroscopy of Ar+H2+ C7H8 discharges for anisotropic plasma CVD of carbon, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
1005. M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, H. Seo, G. Uchida, Koga Koga, M. Shiratani, FeSi2 nano-particles embedded thin films by magnetron sputter deposition, 第15回薄膜国際会議 (ICTF-15), 2011.11.
1006. M. Shiratani, Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, Combinatorial Si Film Deposition by Multihollow Discharge Plasma CVD, 第15回薄膜国際会議 (ICTF-15), 2011.11.
1007. M. Shiratani, G. Uchida, M. Sato, Y. Wang, K. Koga, N. Itagaki, Quantum dot sensitized solar cells using nanoparticles of Si compounds fabricated by multihollow discharge plasma CVD, AVS 58th International Symposium & Exhibition , 2011.11.
1008. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth promotion of bread yeast using atmospheric pressure dielectric barrier discharges , AVS 58th International Symposium & Exhibition , 2011.10.
1009. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, M. Shiratani, Impacts of Plasma Fluctuations in Reactive Plasmas (Invited), BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1010. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1011. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
1012. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films for Quantum Dot Sensitized Solar Cells, Low Carbon Earth Summit (LCES-2011), 2011.10.
1013. M. Shiratani, K. Kamataki, K. Koga, G. Uchida, Two dimensional laser light scattering to deduce size and density of nanoparticles in plasmas (Invited), Laser Aided Plasma Diagnostic conference (LAPD15), 2011.10.
1014. M. Shiratani, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Deposition of quantum dot thin films using Si nanoparticles with surface nitridation (Invited), The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1015. M. Shiratani, K. Koga, G. Uchida, Plasma CVD of nanoparticle composite films and their applications (Plenary), The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1016. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Effects of Si nano-particle incorporation on properties of microcrystalline silicon thin films studied by multi-hollow discharge CVD, The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1017. T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Effects of flux of carbon radicals on anisotropic deposition of carbon films on fine trench using H-assisted plasma CVD, The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
1018. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Spatial distribution of resistivity of ZnO:Al thin films fabricated on solid-phase crystallized seed layers, European Material Research Society 2011 Fall Meeting (E-MRS), 2011.09.
1019. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Frontier science of interactions between plasmas and nano‐interfaces (Plenary), (ICPAT2011)4th International Conference on Advanced Plasma Technologies, 2011.09.
1020. M. Shiratani, T. Urakawa, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Carbon protective layer on top surface of trench substrate using H-assisted plasma CVD (Invited), Workshop on Plasma Synthesis and Application of Nanomaterials , 2011.09.
1021. K. Kamataki , H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasmas, the XXX International Conference on Phenomena in Ionized Gases(ICPIG) 2012 Conference, 2011.08.
1022. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G.u Uchida, K. Koga, M. Shiratani, Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD, The 20th International Symposium on Plasma Chemistry (ISPC20), 2011.07.
1023. T. Urakawa, T. Nomura, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on submicron wide trench substrate using H-assisted plasma CVD, The 20th International Symposium on Plasma Chemistry (ISPC20), 2011.07.
1024. K. Hatozaki, K. Nakahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Highly stable schottky cells using cluster-free a-Si:H deposited by multi-hollow discharge plasma CVD method, The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
1025. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, Innovative Si solar cells: new approaches and demonstration of devices (Invited), The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
1026. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control towards Ultimate Plasma Nanotechnologies (Keynote), 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1027. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of high quality ZnO films via nitrogen-mediated crystallization, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1028. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1029. Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of nanoparticle incorporation on crystalline orientation of microcrystalline silicon films prepared by multi-hollow plasma discharge CVD, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1030. Y. Akiyoshi, Keiichi Yamamoto, A. Nakahigashi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Redox Characteristics of the components in plant cell using oxygen radicals, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
1031. M. Shiratani, G. Uchida, K. Koga, Plasma Nanofactory, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1032. G. Uchida, M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films and its Application to Quantum dot Sensitized Solar Cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1033. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of solid-phase crystallization temperature on properties of epitaxial ZnO films, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1034. K. Nishiyama, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Nanoparticle formation due to interactions between H2 plasmas and graphite, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1035. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Surface modification with TiO2 films for Si quantum dot-sensitized solar cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
1036. K. Koga, G. Uchida, M. Sato, Y. Wang, K. Nakahara, K. Kamataki, N. Itagaki, M. Shiratani, Effects of surface treatment on performance of Si nano-particle quantum dot solar cells, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1037. T. Matsunaga, Yeon Won Kim, K. Koga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process Window Evaluation of Microcrystalline Silicon Films with and without Incorporating Clusters using Multi-hollow Discharge Plasma CVD, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1038. K. Hatozaki, K. Nakahara, G. Uchida, K. Koga, M. Shiratani, Substrate temperature dependence of defect density of cluster-free a-Si:H films deposited using SiH4 multi-hollow discharge plasma CVD, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
1039. M. Shiratani, K. Koga, G. Uchida, Applications of nanoparticles formed in reactive plasmas: from solar cells to LSI (Invited), International Workshop on Plasmas and Particles, 2011.06.
1040. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface coating, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
1041. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of highly conducting ZnO:Al films utilizing solid-phase crystallized seed layer, European Materials Research Society 2011 Spring Meeting , 2011.05.
1042. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, and M. Shiratani, High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers, European Materials Research Society 2011 Spring Meeting , 2011.05.
1043. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Transport control of carbon nanoparticles in plasmas by biasing wall potential for plasma nano-factories, European Materials Research Society 2011 Spring Meeting , 2011.05.
1044. M. Shiratani, K. Koga, Plasma nanofactories for constructing nanosystems in the third generation nanotechnology (Invited), 6th International Workshop on Microplasmas, 2011.04.
1045. M. Shiratani, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, Ultra Low Resistive NM Thick AZO Films Deposited by Magnetron Sputtering Using Solid Phase Crystallization, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1046. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Combinatorial deposition of surface nitridated Si nano-particle composite films by double multi-hollow discharges, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1047. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films of a low defect density using SiH4+PH3 multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1048. Y. Kim, T. Matsunaga, Y. Kawashima, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of substrate temperature on properties of μc-Si:H films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1049. T. Matsunaga, Y. Kim, Y. Kawashima, K. Koga, D. Yamashita, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process window of microcrystalline silicon films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1050. S. Kitazaki, G. Uchida, K. Koga, M. Shiratani, N. Hayashi, Growth enhancement of plants using atmospheric pressure dielectric barrier discharge irradiation, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
1051. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Deposition of ?c-Si films using plasma CVD under high gas pressure conditions, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1052. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD for pin solar cell, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1053. Y. Kim, T. Matsunaga, Y. Kawashima, M. Sato, K. Nakahara, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of substrate temperature on microcrystalline silicon thin films deposited using plasma enhanced CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1054. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated silicon nano-particles by double multi-hollow discharge CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1055. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical and electrical properties of nitridated Si nano-particle composite thin films deposited by double multi-hollow discharges CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1056. S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi, Atmospheric pressure discharge device for biomedical application, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
1057. M. Shiratani and K. Koga, Fluctuation of charge on a nano-particle in plasmas and its conseuquences, 2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ), 2011.03.
1058. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Deposition of Si nano-particle quantum dot thin film by multi-hollow discharge and their application to the third generation hotovoltaics, 2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ), 2011.03.
1059. K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani, Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics (Invited), International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011), 2011.02.
1060. K. Koga, T. Matsunaga, Y. Kawashima, Y. Kim, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Radical flux evaluation of high pressure silane plasma CVD using multi-hollow discharges (Invited), The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1061. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Combinatorial study on deposition profiles of silicon thin films deposited using multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1062. H. Miyata, S. Iwashita, K. Nishiyama, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of SiOx-CH3 nano-particles on trench substrates using pulse RF discharges, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1063. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Electrical characteristics of Si nanoparticles/ruthenium dye hybrid sensitized solar cells, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1064. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G.u Uchida, N. Itagaki, K. Koga, M. Shiratani, Flux measurements of carbon dust particles towards biased substrates in H2 helicon discharge plasmas, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1065. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated Si particle composite films by double multi-hollow plasma CVD method, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1066. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Low resistivity AZO films fabricated on solid phase crystallized ZnO buffer layer: solid phase crystallization temperature dependence, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1067. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical bandgap energy of B-doped a-Si:H films depositedby SiH4+B10H14multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1068. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani , Photoluminescence measurement of nitridated Si particles generated by double multi-hollow discharge PECVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1069. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Property evaluation of silicon films deposited using high gas pressure multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1070. D. Yamashita, K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Surface reactions of P-doped a-Si:H films deposition using SiH4+PH3, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1071. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Emission intensity measurements of Ar+H2+C7H8 plasmas using H-assisted plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1072. S. Kitazaki, K. Koga, G. Uchida, M. Shiratani, N. Hayashi, Growth promotion of plants using low pressure O2 RF discharges, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1073. T. Urakawa, T. Nomura, H. Matsuzaki, D. Yamashita, G.u Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Main discharge dependence of deposition rate of plasma CVD carbon films de-posited using H-assisted plasma CVD reactor, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
1074. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate of SiH4+PH3 multi-hollow plasma CVD a-Si:H films, 第20回日本MRS学術シンポジウム, 2010.12.
1075. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and the application to solar cell, 第20回日本MRS学術シンポジウム, 2010.12.
1076. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Investigation of Interaction between of Growth of Nano Particles and Plasma Fluctuations in Plasma CVD, 第20回日本MRS学術シンポジウム, 2010.12.
1077. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Microcrystalline silicon films deposited by using multi-hollow discharge plasma CVD under high pressure depletion conditions, 第20回日本MRS学術シンポジウム, 2010.12.
1078. Y. Akiyoshi, A. Nakahigashi, N. Hayashi, Satosi Kitazaki, K. Koga, M. Shiratani, Influence Of Atmospheric Pressure Torch Plasma Irradiation On Plant Growth, 第20回日本MRS学術シンポジウム, 2010.12.
1079. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, A novel control method of nano-strucutre of oxide films using sputtering deposition (Keynote Speech), The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) , 2010.12.
1080. Deposition of SiOx-CH3 nano-particles on fine pattern substrate.
1081. Evaluation of the flux of carbon particles generated plasma-carbon wall interaction to substrates.
1082. Current density-voltage characteristics of the sensitized solar cell
using Si nanoparticles and Ru dye
.
1083. Fabrication of surface-nitrided Si particles by double multi-hollow discharges.
1084. Conductivity of P-doped a-Si:H films deposited using multi-hollow plasma CVD.
1085. Preparation of microcrystalline silicon films under high gas pressure condition.
1086. Deposition of nano-particles onto micro trench substrates.
1087. K. Koga, T. Nomura, G. Uchida, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on the surface of fine structures using plasma CVD (Invited), The 1st Korean-Japan Symposium on Surface Technology, 2010.11.
1088. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon nanoparticles and the application to solar cell (Invited), The 1st Korean-Japan Symposium on Surface Technology, 2010.11.
1089. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control for Plasma Nanotechnologies (Keynote Speech), International technical conference of IEEE Region 10, 2010.11.
1090. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-Free B-Doped a-Si:H Films Deposited Using SiH4 + B10H14 Multi-Hollow Discharges, International technical conference of IEEE Region 10, 2010.11.
1091. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, W. M. Nakamura, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Deposition profiles of microcrystalline silicon films using multi-hollow discharge plasma CVD, International technical conference of IEEE Region 10, 2010.11.
1092. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasmas, International technical conference of IEEE Region 10, 2010.11.
1093. G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, Dsaisuke Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge, International technical conference of IEEE Region 10, 2010.11.
1094. S. Kitazaki, D. Yamashita, H. Matsuzaki, G.u Uchida, K. Koga, M. Shiratani, Growth Stimulation of Radish Sprouts Using Discharge Plasmas, International technical conference of IEEE Region 10, 2010.11.
1095. Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Photoluminescence of Si nanoparticles synthesized using multi-hollow discharge plasma CVD, International technical conference of IEEE Region 10, 2010.11.
1096. Y. Akiyoshi, A. Nakahigashi, N. Hayashi, S. Kitazaki, T. Iwao, K. Koga, M. Shiratani, Redox Characteristics of Amino Acids Using Low Pressure Water Vapor RF Plasma, International technical conference of IEEE Region 10, 2010.11.
1097. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Substrate temperature dependence of feature profile of carbon films on substrate with submicron trenches, International technical conference of IEEE Region 10, 2010.11.
1098. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-free p-type a Si:H films deposited using SiH4 + B10H14 multi-hollow discharges, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1099. T. Matsunaga, Y. Kawashima, K. Koga, M. Sato, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of microcrystalline silicon filmas deposited by using multi-hollow discharge plasma CVD, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1100. Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Novel solar cells using Si nanoparticles, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1101. S. Kitazaki, K. Koga, M. Shiratani, N. Hayashi, Growth stimulation of sprouts using plasma irradiation, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1102. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Y. Stshuhara, M. Sekine, M. Hori, Substrate temperature dependence of feature profile plasma CVD carbon films on trenched substrates, The 4th International Student Workshop on Electrical Engineering, 2010.11.
1103. M. Shiratani, H. Miyata, K. Nishiyama, S. Iwashita, D.Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, In-situ collection of dust particles produced due to interaction between helicon discharge plasmasand graphite on substrates with bias voltage, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
1104. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particle in SiH4/H2 and N2 multi-hollow discharges, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
1105. M. Shiratani, K. Koga, G.u Uchida, N. Itagaki, K. Kamataki, Manipulation of Nano-objects Uusing Plasmas for a Plasma Nano-factory (Invited), The 11th Asia Pacific Physics Conference (APPC11), 2010.11, 新しいボトムアッププロセスとしてナノ粒子の操作をプラズマを用いて行う新概念の提案とその実験的検証に関する報告を行った。.
1106. G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
1107. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles, 2010 International Symposium on Dry Process Program (DPS), 2010.11.
1108. K. Koga, H. Miyata, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD Experimental Group, Deposition of Nanoparticles using Substrate Bias Voltage, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
1109. S. Kitazaki, T. Iwao, G. Uchida, K. Koga, M. Shiratani, N. Hayashi, Scalable atmospheric DBD device for biomedical processing, AVS 57th International Symposium & Exhibition, 2010.10.
1110. M. Shiratani, K. Koga, T. Matsunaga, Y. Kawashima, W. M. Nakamura, G. Uchida, N. Itagaki, Combinatorial plasma CVD of Si thin films with a multihollow discharge plasma CVD reactor, AVS 57th International Symposium & Exhibition, 2010.10.
1111. K. Koga, K. Nakahara, T. Matsunaga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, High speed deposition of highly stable a-Si:H films using pure silane multi-hollow discharges, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1112. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Carrier generation in Si quantum dots-sensitized solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1113. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of cluster-free B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1114. H. Miyata, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Effects of H2 plasma irradiation to TiO2 on quantum dot/dye-sensitized solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1115. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, M. Sato, D. Yamashita, G. Uchida, N. Itagaki, M. Shiratani, Optical and electrical properties of microcrystalline silicon thin films deposited by mutli-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1116. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H.Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Production of nitridated silicon particles for quantum dot solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1117. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, ZnO transparent conductive films prepared by solid-phase crystallization from amorphous phase, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1118. S. Kitazaki, Y. Kawashima, G. Uchida, K. Koga, M. Shiratani, Atmospheric Pressure Discharge Treatment of TiO2 Layer of quantum dot/dye sensitized solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1119. T. Urakawa, T. Nomura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of carbon films on nano-patterned substrates using H-assisted plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1120. T. Nomura, T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Raman spectroscopy of carbon films deposited by plasma anisotorpic CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1121. K. Nishiyama, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Rapid transport of nano-particles as a key technology for fabrication of quantum-dot solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
1122. K. Koga, G. Uchida, Y. Kawashima, M. Sato, K. Yamamoto, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, M. Shiratani, Si quantum dot-sensitized solar cells using Si nanoparticles produced by multi-hollow discharge, 3rd International Symposium on Innovative Solar Cells, 2010.10.
1123. A. Nakahigashi, Y. Akiyoshi, N. Hayashi, S. Kitazaki, K. Koga, M. Shiratani, Redox Characteristics of Thiol of Plants Using Radicals Produced by RF Discharge, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1124. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of a-ZnON films by Ar/N2 sputtering for solid-phase crystallization of ZnO, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1125. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group, Carbon dust particles generated due to H2 plasma-carbon wall interaction, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1126. T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1127. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1128. G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1129. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Plasma parameter measurements of Ar+H2+C7H8 plasmas in H-assisted plasma CVD reactor, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
1130. Deposition of B-doped a-Si:H using by SiH4+ B10H14 multi-hollow discharge plasma CVD.
1131. Fabrication of surface nitrided Si particles applying double multi-hollow discharge plasma.
1132. Mapping of crystallinity of ?c-Si thin films deposited under high gas pressure.
1133. Surface nitridation of silicon particles by double multi-hollow discharges.
1134. Deposition of B doped a-Si:H using SiH4+ B0H4 multi-hollow discharge plasma CVD.
1135. 2-dimensional mapping of crysallinity of μc-Si films deposited under high gas pressure.
1136. Dependence of deposition profile of plasma CVD carbon films on substrate temperature.
1137. Growth rate enhancement of radish sprouts using oxygen plasma.
1138. Effects of wall potential on flux of dust particles generated due to Interaction between H plasmas and graphite.
1139. Effects of Discharge Power Fluctuation on Growth of Nano-Particles in Reactive Plasmas
.
1140. Light intensity dependence of photo current of nano-particle sensitized solar cells.
1141. S. Iwashita, H. Miyata, K. Koga, M. Shiratani, U. Czarnetzki, Control of nano-block transport using amplitude modulated pulse rf discharges (selected as a presentation in Hot Topic Session), 20th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG2010), 2010.07.
1142. M. Shiratani, G. Uchida, K. Koga, Evolution of green plasma nanotechnology for harvesting energy devices (Invited), The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
1143. M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Generation of Si particles and their nitridation using double multihollow discharges, The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
1144. S. Kitazaki, T. Iwao, K. Koga, M. Shiratai, N. Hayashi, Stimulation of plant growth using discharges plasmas, The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
1145. K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Comparison between Si thin films with and without incorporating nanoparticles into the film, 10th Asia Pacific Conference on Plasma Science and Technology (APCPST), 2010.07.
1146. K. Koga, Y. Kawashima, K. Nakahara, T. Matsunaga, W. M. Nakamura, M. Shiratani, Effects of hydrogen dilution on electron density in multi-hollow discharges with magnetic field for a-Si:H film deposition, 35th IEEE Photovoltaic Specialists Conference (PVSC), 2010.06.
1147. K. Nakahara, Y. Kawashima, T. Matsunaga, K. Koga, M. Shiratani, Deposition of cluster-free P-doped a-Si:H films using a multi-hollow discharge plasma CVD method, 35th IEEE Photovoltaic Specialists Conference (PVSC), 2010.06.
1148. Y. Kawashima, K. Nakahara, T. Matsunaga, H. Sato, K. Koga, M. Shiratani, M. Kondo, Si quantum dot-sensitized solar cells using Si nanoparticles produced by plasma CVD, 35th IEEE Photovoltaic Specialists Conference (PVSC), 2010.06.
1149. K. Koga, Plasma CVD for Si thin film solar cells, 2010 International Workshop on Plasma Applications, 2010.06.
1150. S. Iwashita, H. Miyata, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group, Flux Measurements of Dust Particles during Hydrogen Discharges in LHD, 19th International Conference on Plasma Surface Interactions in Controlled Fusion Devices (PSI2010), 2010.05.
1151. Transport of nanoparticlecloud having a fractional elementary charge by amplitude modulating pulse discharges.
1152. Deposition of P doped a-Si:H in using SiH4+PH3 multi-hollow discharge plasma CVD
.
1153. Synthesis of Si nanoparticles using plasma CVD and their application for the third generation photovoltaics
.
1154. Oxidation of cystein using oxygen/water vapor RF plasma
.
1155. Mie scattering measurement of growing process of nanotube-clusters under convection-free arc discharge
.
1156. Electron density measurements of Ar+H2+C7H8 plasmas
.
1157. In-situ sampling of dust particles in LHD using a polyhedral holder
.
1158. Influence of perturbation of discharge power on ion density
.
1159. M. Shiratani, K. Koga, Frontier science of interactions between plasmas and nano-interfaces (Invited), The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1160. K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Deposition of n-type a-Si:H using SiH4+PH3 multi-hollow discharge plasma CVD, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1161. K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Deposition of n-type a-Si:H using SiH4+PH3 multi-hollow discharge plasma CVD, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1162. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Effects of amplitude modulation of RF discharge voltage on ion saturation current, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1163. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Effects of amplitude modulation of RF discharge voltage on ion saturation current, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1164. T. Nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Hα emission intensity measurements of H? + Ar + C?H? plasmas in H-assisted plasma CVD reactor, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1165. T. nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Hα emission intensity measurements of H2 + Ar + C7H8 plasmas in H-assisted plasma CVD reactor, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1166. Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani, Surface temperature rise of a-Si:H films during deposition in silane multi-hollow discharges, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1167. Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani, Surface temperature rise of a-Si:H films during deposition in silane multi-hollow discharges, The 2nd International Student Workshop on Electrical Engineering, 2010.03.
1168. S. Iwashita, H. Miyata, Y. Yamada, K. Koga, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka, Toxicity of CIGS nano-particles, The 3rd International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2010), 2010.03.
1169. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, M. Akiyama, Control of surface roughness of nano-particle composite low-k film deposited in CVD plasma, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1170. K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, M. Shiratani, Effects of H2 Dilution on Deposition of a-Si:H Films using Silane Multi-Hollow Discharge Plasma CVD, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1171. Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for Quantum dots sensitized solar cells, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1172. W. M. Nakamura, H. Sato, K. Koga, M. Shiratani, High Deposition Rate of a-Si:H Films of Low Stabilized Defect Density, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1173. T. Nomura, Y. Korenaga, J. Umetsu, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Gas Flow Rate Ratio Dependence of Deposition Profile of H-Assisted Plasma CVD Carbon Films on Trench Substrates, 2nd International Symposium on Advance Plasma Science and its Application for Nitrides and Nanomaterials (ISPlasma2010), 2010.03.
1174. M. Shiratani, K. Koga, Thin film silicon solar cells in the age of GW production lines (Invited), The Second International Symposium of Experiment-Integrated Computational Chemistry on Multiscale Fluidics (ECCMF2), 2010.02.
1175. K. Koga, H. Sato, Y. Kawashima, W. M. Nakamura, M. Shiratani, Effects of gas residence time and H2 dilution on electron density in multi-hollow discharges of SiH4+ H2, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1176. H. Sato, Y. Kawashima, K. Nakahara, K. Koga, M. Shiratani, Measurement of electron density in multi-hollow discharges with magnetic field, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1177. Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for Quantum dots-sensitized solar cells using multi-hollow discharge plasma CVD, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1178. T. Nomura, Y. Korenaga, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of hard carbon films on trenched substrates using H-assisted plasma CVD reactor, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1179. T. Mieno, G. Tan, S. Usuba, K. Koga, M. Shiratani, In-situ Measurement of Production Process of Nanotube-Aggregates by the Laser-Mie Scattering (Dependence of Arc Condition and Gravity), 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1180. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, In-Situ Sampling of Dust Particles Produced Due to Interaction between Main Discharge Plasmas and Inner Wall in LHD, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1181. S. Iwashita, H. Miyata, Y. Yamada, H. Matsuzaki, K. Koga, M. Shiratani, Observation of nano-particle transport in capacitively coupled radio frequency discharge plasmas, 第27回プラズマプロセシング研究会(SPP-27), 2010.02.
1182. M. Shiratani, Y. Kawashima, K. Nakahara, H. Sato, W. M. Nakamura, K. Koga, Towards the multiple exciton generation solar cells ? Si quantum-dot sensitized solar cells (Invited), The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1183. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Carbon dust formed due to interaction between graphite and H2 plasma, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1184. Y. Kawashima, K. Nakahara, H. Sato, K. Koga, M. Shiratani, M. Kondo, Carrier extraction from Si nanoparitcles in quantum dots-sensitized solar cell, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1185. T. Nomura, Y. Korenaga, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Dependence of emission intensities on discharge power of H atom source in H2 + Ar + C7H8 CVD plasmas, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1186. K. Nakahara, H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Effects of hydrogen dilution on electron density in multi-hollow discharges with magnetic field, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1187. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Nano-particle composite ULK films deposited by plasma CVD, The 10th International Workshop on Advanced Plasma Processing and Diagnostics(The 1st International Student Workshop on Electrical Engineering), 2010.01.
1188. K. Koga, H. Sato, Y. Kawashima, M. Shiratani, High Rate Deposition of Cluster-suppressed Amorphous Silicon Films Deposited Using a Multi-hollow Discharge Plasma CVD, 2009 MRS Fall Meeting, 2009.12.
1189. K. Koga, S. Iwashita, H. Miyata, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka, Plasma Treatment of Indium Compounds to Reduce Their Adverse Health Effects, 2009 MRS Fall Meeting, 2009.12.
1190. M. Shiratani, Y. Kawashima, K. Koga, Application of Si nanoparticles to third generation photovoltaics, 2nd International Symposium on Innovative Solar Cells, 2009.12.
1191. T. Nomura, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition Profile Control of Carbon Films on Patterned Substrates using a Hydrogen-assited Plasma CVD Method, 2009 MRS Fall Meeting, 2009.12.
1192. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Amplitude modulated pulse RF discharges for producing and driving nano-blocks, American Vacuum Society 56th International Symposium, 2009.11.
1193. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, Carbon particle formation due to interaction between graphite, helicon plasmas, American Vacuum Society 56th International Symposium, 2009.11.
1194. T. Nomura, K. Koga, M. Shiratani, M. Sekine, Y. Setsuhara, M. Hori, Deposition profile of carbon films in submicron wide trenches using H-assisted plasma, American Vacuum Society 56th International Symposium, 2009.11.
1195. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Production of crystalline Si nanoparticles for third generation photovoltaics using a multi-hollow discharge plasma CVD method, American Vacuum Society 56th International Symposium, 2009.11.
1196. K. Koga, Y. Kawashima, K. Nakahara, H. Sato, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for third generation solar cells (Invited), 10th Workshop on Fine Particle Plasmas, 2009.11.
1197. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, Manipulation of nanoparticles using plasmas, 10th Workshop on Fine Particle Plasmas, 2009.11.
1198. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, S. Masuzaki, LHD Experimental Group, Flux of dust particles formed due to plasma-wall interaction in LHD, 10th Workshop on Fine Particle Plasmas, 2009.11.
1199. M. Shiratani, K. Koga, Ultrahigh quality amorphous silicon film deposition for solar cell employing novel plasma enhanced CVD (Invited), 62nd Gaseous Electronics Conference, 2009.10.
1200. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Nanoblock manipulation in CVD plasmas, 62nd Gaseous Electronics Conference, 2009.10.
1201. M. Shiratani, K. Koga, Towards plasma nano-factories (Invited), 2nd International Conference on Advanced Plasma Technologies (iCAPT-II) with 1st International Plasma Nanoscience Symposium (iPlasmaNano-I), 2009.10.
1202. M. Shiratani, K. Koga, Production of Si nanoparticles in CVD plasmas for Si thin fillm solar cells of the third generation (Invited), Second International Conference on Microelectronics and Plasma Technology (ICMAP2009), 2009.09.
1203. M. Shiratani, K. Koga, Plasma CVD for Si thin fillm solar cells (Invited), International Conference on Plasma Surface Engineering (AEPSE2009), 2009.09.
1204. H. Miyata, S. Iwashita, Y. Yamada, K. Koga, M. Shiratani, Dust Particles Formed owing to Interactions between H2 or D2 Helicon Plasmas, Graphite, 2009 International Symposium on Dry Process, 2009.09.
1205. K. Nakahara, Y. Kawashima, H. Sato, K. Koga, M. Shiratani, Measurements of Electron Density in SiH4+H2 Multi-Hollow Discharges using a Frequency Shift Probe, 2009 International Symposium on Dry Process, 2009.09.
1206. H. Sato, Y. Kawashima, K. Koga, M. Shiratani, Measurements of Surface Temperature of a-Si:H Films in Silane Multi-Hollow Discharge with IR Thermometer, 2009 International Symposium on Dry Process, 2009.09.
1207. S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama, Porosity Control of Nano-particle Composite Porous Low Dielectric Films using Pulse RF Discharges with Amplitude Modulation, 2009 International Symposium on Dry Process, 2009.09.
1208. T. Nomura, Y. Korenaga, J. Umetsu, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Pressure, aspect ratio dependence of deposition profile of carbon films on trench substrates deposited by plasma CVD, 2009 International Symposium on Dry Process, 2009.09.
1209. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of Si Nanoparticles for Multiple Exciton Generation Solar Cells using Multi-Hollow Discharge Plasma CVD, 2009 International Symposium on Dry Process, 2009.09.
1210. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of Si nanoparticles for multiple exciton generation solar cells using multi-hollow discharge plasma CVD, 2009 International Symposium on Dry Process, 2009.09.
1211. M. Shiratani, K. Koga, Production of Si nano-particles in CVD plasmas for Si thin film solar cells of the third generation (Invited Talk), 2nd International Conference on Microelectronics, Plasma Technology (ICMAP 2009), 2009.09.
1212. K. Koga, S. Iwashita, H. Miyata, M. Shiratani, M. Hirata, Y. Kiyohara, A. Tanaka, Plasma treatment of CIGS to reduce toxicity, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1213. M. Shiratani, T. Nomura, Y. Korenaga, J. Umetsu, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Aspect ratio dependence of deposition profile of plasma CVD carbon films on trenched substrates, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1214. M. Shiratani, K. Koga, Detection, control of nano-clusters in CVD plasmas for a-Si thin film solar cells, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1215. M. Shiratani, K. Koga, Plasma CVD for Si thin film solar cells (Invited), Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1216. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, M. Akiyama, Deposition of porous low-k films having nano-grained structures using amplitude modulated pulse rf discharges, Seventh Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009), 2009.09.
1217. M. Shiratani, K. Koga, Thin film silicon solar cells: present, future (Invited), The 9th Korea-Japan Workshop, 2009.07.
1218. Y. Kawashima, H. Sato, K. Koga, M. Shiratani, M. Kondo, Synthesis of crystalline Si nanoparticles for third generation photovoltaics using multi-hollow discharge plasma CVD, photoluminescence of the nanoparticles, The 9th Korea-Japan Workshop, 2009.07.
1219. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Akiyama, Plasma CVD of Nano-particle Composite Porous SiOCH Films, 19th International Symposium on Plasma Chemistry, 2009.07.
1220. H. Sato, W. M. Nakamura, Y. Kawashima, K. Nakahara, H. Matsuzaki, K. Koga,, M. Shiratani, Plasma diagnostics of H2 + SiH4 multi-hollow discharges, 22nd SYMPOSIUM ON PLASMA SCIENCE FOR MATERIALS, 2009.06.
1221. K. Koga, T. Nomura, M. Shiratani, M. Sekine, Y. Setsuhara, M. Hori, Anisotropic deposition in narrow trenches using hydrogen assisted plasma CVD method, Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”, 2009.05.
1222. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, M. Akiyama, Amplitude modulated pulse RF discharges for synthesizing nano-particle composite porous low-k films, Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”, 2009.05.
1223. Y. Kawashima, H. Sato, H. Matsuzaki, K. Koga, M. Shiratani, Effects of hydrogen dilution on electron density in multi-hollow disicharge for depositing highly stable a-Si:H thin films, Memorial Symposium for the Retirement of Professor Tachibana “Toward the Next Generation of Plasma Science, Technology”, 2009.05.
1224. K. Koga, Deposition profile control of carbon films in trenches using a plasma CVD method (Invited), The 7th EU-Japan Joint Symposium on Plasma Processing, 2009.04.
1225. A. Tanaka, M. Hirata, Y. Kiyohara, M. Nakano, K. Omae, M. Shiratani, K. Koga, Health effects of indium compounds: Outcomes of animal, epidemiological studies,, prevention of indium lung (Invited), 6th International Symposium on Transparent Oxide Thin Films for Electronics, Optics (TOEO-6), 2009.04.
1226. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, M. Akiyama, Plasma manipulation of nano-blocks and its application to ULK film deposition (Invited), International Conference on Plasma Nano Technology & Science (IC-PLANTS2009), 2009.03.
1227. K. Koga, Y. Kawashima, W. M. Nakamura, H. Sato, M. Tanaka, M. Shiratani,, M. Kondo, Conductivity of nc-Si films depsited using multi-hollow discharge plasma CVD method, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1228. K. Koga, W. M. Nakamura, H. Sato, M. Tanaka, H. Miyahara,, M. Shiratani , High Rate Deposition of a-Si:H Depositied using a Low Gas Pressure Multi-hollow Discharge Plasma CVD Method
, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1229. S. Iwashita, H. Miyata, K. Koga, M. Shiratani, N. Ashikawa, A. Sagara, K. Nisimura , Characteristics of dust particles produced due to interaction between hydrogen plasmas, graphite
, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1230. J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile of toluene plasma CVD carbon films in trenches, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1231. Nakamura W. M., Sato H., Koga K., Shiratani M., Effects of magnetic fields on multi-hollow discharges for thin film silicon solar cells, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1232. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Nano-block manipulation using pulse RF discharges with amplitude modulation combined with a needle electrode, プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会, 2009.02.
1233. W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Matsuzaki, K. Koga, M. Shiratani, High Deposition Rate of Highly Stable a-Si:H Films by Magnetically Enhanced Multi-hollow Discharges, 2nd International Conference on Plasma-Nano Technology & Science, 2009.01.
1234. M. Shiratani, J. Umetsu, T. Nomura, K. Inoue, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of plasma enhanced CVD carbon films in submicron wide trenches, 2nd International Conference on Plasma-Nano Technology & Science, 2009.01.
1235. M. Shiratani, W. M. Nakamura, H. Sato, K. Koga, Design and controlling of plasma nano-processing for the third generation solar cell devices (Invited), 8th International Workshop of Advanced Plasma Processing and Diagnostics, 2009.01.
1236. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Control of nano-block transport in asymmetric capacitively coupled discharges
, 9th Workshop on Fine Particle Plasmas, 2008.12.
1237. K. Koga, S. Iwashita, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group, Dust Particles in Size Range from 1 nm to 10 μm Sampled in LHD, 9th Workshop on Fine Particle Plasmas, 2008.12.
1238. M. Shiratani, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, Deposition of Nano-particle Composite Porus Low-k Films Using Pulse RF Discharges with Amplitude Modulation, The IUMRS International Conference in Asia 2008, 2008.12.
1239. M. Shiratani, S. Iwashita, H. Miyata, K. Koga, Formation of Dust Particles due to Interaction between Graphite, Deuterium Helicon Plasmas, The IUMRS International Conference in Asia 2008, 2008.12.
1240. J. Umetsu, K. Inoue, T. Noumura, H. Matsuzaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Dependence of Deposition Rate of Carbon Films in Trenches on Substrate Temperature, Aspect Ratio Using H-assisted Plasma CVD Reactor, The IUMRS International Conference in Asia 2008, 2008.12.
1241. K. Inoue, J. Umetsu, T. Nomura, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Discharge Power Dependence of Ha Intensity in H2+C7H8 Capacitively Coupled Dicharges, The IUMRS International Conference in Asia 2008, 2008.12.
1242. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Evaluation of 2D Spatial Profiles of Volume Fraction of Clusters Incorporated into a-Si:H Films, The IUMRS International Conference in Asia 2008, 2008.12.
1243. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, Effects of Hydrogen Dilution on Deposition of a-Si:H Films using a Multi-hollow Dischage Plasma CVD Method, The IUMRS International Conference in Asia 2008, 2008.12.
1244. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, Pressure Dependence of Deposition Rate of a-Si:H Films Deposited Using a Multi-hollow Discharge, The IUMRS International Conference in Asia 2008, 2008.12.
1245. J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori, Deposition profile of plasma CVD carbon films in trenches, 30th International Symposium on Dry Process, 2008.11.
1246. S. Iwashita, H. Miyata, K. Koga, H. Matsuzaki, M. Shiratani, M. Akiyama, Plasma CVD of Nano-particle Composite Porous Films of k=1.4-2.9, Young's Modulus above 10 GPa, 30th International Symposium on Dry Process, 2008.11.
1247. M. Shiratani, J. Umetsu, K. Inoue, T. Nomura, H. Matsuzaki, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of plasma CVD hard carbon films on substrates with trenches, 61st Annual Gaseous Electronics Conference, 2008.10.
1248. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Analysis of dust particles produced due to interaction between graphite, deuterium helicon plasmas, 61st Annual Gaseous Electronics Conference, 2008.10.
1249. S. Iwashita, K. Koga, M. Shiratani, Nano-particle manipulation using pulse RF discharges with amplitude modulation, 61st Annual Gaseous Electronics Conference, 2008.10.
1250. K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, M. Shiratani, Improvement in deposition rate of a-Si:H films using a low pressure multi-hollow discharge plasma CVD method, ICPP2008 Satellite Meeting on Plasma Physics, Advanced Applications in Aso, 2008.09.
1251. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Formation, transport of nano-particles using pulse RF discharges with amplitude modulation, ICPP2008 Satellite Meeting on Plasma Physics, Advanced Applications in Aso, 2008.09.
1252. S. Iwashita, K. Koga, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, LHD Experimental Group, A Comparison of Dust Particles Produced due to Interaction between Graphite, Plasmas: LHD vs Helicon Discharges, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1253. J. Umetsu, K. Inoue, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Dependence of Deposition Rate on a Ratio of Ion Flux to Radical Flux in Plasma Anisotropic CVD of C Films, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1254. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Dependence of Volume Fraction of Clusters on Deposition Rate of a-Si:H films Dposited using a Multi-hollow Discharge Plasma CVD Method, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1255. H. Miyahara, S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Detection of Nano-particles Formed in CVD Plasmas using Two-dimentional Photon-counting Laser-light-scattering Method, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1256. Y. Setsuhara, K. Takenaka, M. Shiratani, K. Koga, K. Takeda, M. Sekine, M. Hori, Development of Combinatorial Plasma-process Analyzer for Next-generation Plasma-nano-fabrications, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1257. T. Mieno, G. Tan, S. Usuba, K. Koga, M. Shiratani, In-situ Measurement of Production Process of Carbon Clusters under Gravity-free Condition by the Mie-scattering Method, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1258. K. Inoue, J. Umetsu, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Main Discharge Power Dependence of Emission Intensities in H2+C7H8 Plasmas, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1259. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Manipulation of Nano-blocks Formed in CVD Plasmas using Pulse RF Discharges with Amplitude Modulation, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1260. W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Optical Emission Spectroscopy of a Magnetically Enhanced Multi-hollow Discharge Plasma for a-Si:H Deposition, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1261. J. Umetsu, K. Inoue, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Substrate Temperature of Deposition Profile of Carbon Films in Trenches Deposited by Plasma CVD, International Congress on Plasma Physics 2008 (ICPP2008), 2008.09.
1262. K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki,, M. Shiratani , Deposition of highly stable a-Si:H films using hydrogen diluted silane hollow discharge, The 3rd International School of Advanced Plasma Technology, 2008.07.
1263. W. M. Nakamura, Y. Kawashima, M. Tanaka, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, High deposition rate of highly stable a-Si:H films for the third generation of photovoltaics, The 7th International Workshop on Advanced Plasma Processing, Diagnostics, 2008.07.
1264. M. Shiratani, K. Koga, Nanoparticle suppressed plasma CVD for depositing stable a-Si:H (Invited), 2008 The fifteenth international workshop on active-matrix flatpanel displays and devices, 2008.07.
1265. S. Iwashita, H. Miyata, K. Koga, M. Shiratani, In-situ, ex-situ sampling of dust particles formed due to interaction between graphite, deuterium helicon plasmas, ITER International Summer School 2008, 2008.07.
1266. M. Shiratani, K. Koga, Deposition profile control of plasma CVD films on nano-patterned substrates (Invited), Interfinish 2008, 2008.06.
1267. J. Umetsu, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, M. Shiratani, Control of deposition profile of hard carbon films on substrates having trenches, Interfinish 2008, 2008.06.
1268. W. M. Nakamura, H. Sato, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Evaluation of two dimensional spatial profiles of volume fraction of nanoparticles incorporated into a-Si:H films, Interfinish 2008, 2008.06.
1269. H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Heat treatment with high pressure water vapor of hydrogenated amorphous silicon, Interfinish 2008, 2008.06.
1270. S. Iwashita, H. Miyata, H. Matsuzaki, K. Koga, M. Shiratani, Rapid deposition of porous ultra low-k films using pulse RF discharges with amplitude modulation, Interfinish 2008, 2008.06.
1271. M. Shiratani, K. Koga, W. M. Nakamura, H. Sato, S. Nunomura, M. Kondo, Si thin films of second and third generation photovoltaics (Invited), International workshop on merging state-of-the-art plasma science into novel technologies, 2008.05.
1272. M. Shiratani, K. Koga, S. Iwashita, S. Nunomura, M. Kondo, Plasma processes for developing nanosystems in the 3rd generation nanotechnology (Invited), 6th EU-Japan Joint Symposium on Plasma Processing, 2008.04.
1273. K. Koga, Nano-structure formation using Plasma (Invited), レノバセミナー, 2008.04.
1274. M. Shiratani, K. Koga, Plasma engineering for third generation nanotechnology (Invited), International Conference on Plasma Nano Technology & Science 2008, 2008.03.
1275. K. Koga, H. Sato, W. M. Nakamura, H. Miyahara, H. Matsuzaki, M. Shiratani, Effects of hydrogen dilution on a-Si:H deposition using silane hollow discharges, 第25回プラズマプロセシング研究会, 2008.01.
1276. W. M. Nakamura, H. Sato, J. Umetsu, H. Miyahara, H. Matsuzaki, K. Koga, M. Shiratani, Emission Spectroscopy of Magnetically Enhanced Multi-Hollow Discharges, 第25回プラズマプロセシング研究会, 2008.01.
1277. S. Iwashita, H. Matsuzaki, K. Koga, M. Shiratani, Formation of carbon dust particles due to interaction between graphite, pulse deuterium helicon plasmas, 第25回プラズマプロセシング研究会, 2008.01.
1278. J. Umetsu, K. Inoue, K. Takenaka, H. Matsuzaki, K. Koga, M. Shiratani, Ha emission intensity, electron density in anisotropic CVD plasmas, 第25回プラズマプロセシング研究会, 2008.01.
1279. S. Iwashita, Michihito Morita, K. Koga, M. Shiratani, In-situ observation of nano-block transport in CVD plasmas using two-dimensional photon counting laser-light scattering method, 第25回プラズマプロセシング研究会, 2008.01.
1280. T. Mieno, S. Usuba, K. Koga, M. Shiratani, Measurement of Diffusion, Cohesion Process of Carbon Clusters by Mie Scattering, 第25回プラズマプロセシング研究会, 2008.01.
1281. S. Iwashita, Michihito Morita, K. Koga, M. Shiratani, Plasma CVD of nano-particle composite porous low-k films usihg pulse RF discharges with amplitude modulation, 第25回プラズマプロセシング研究会, 2008.01.
1282. M. Shiratani, K. Koga, High-quality amorphous Si formation by sophisticated plasma technology (Invited), 6th International Workshop of Advanced Plasma Processing and Diagnostics, 2008.01.
1283. K. Koga, M. Shiratani, Control of deposition profile of Cu in trenches using ion-enhanced surface reaction (Invited), The 5th International Symposium on Advanced Plasma Processing, Diagnostics, The 1st International Symposium on Flexible Electronics Technology, 2007.04.
1284. M. Shiratani, W. M. Nakamura, D. Shimokawa, H. Miyahara , K. Koga, Control of nanostrucuture of plasma CVD films and its application to third generation photovoltaics (Invited), Fifth EU-Japan Joint Symposium on Plasma Processing, 2007.03.
1285. M. Shiratani, K. Koga, S. Nunomura, M. Kondo, Nanocrystalline silicon/amorphous silicon composite material (Invited), International Workshop upon Thin Film Silicon Solar Cells, 2007.02.
1286. M. Shiratani, S. Kiridoshi, K. Koga, N. Ahikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group, In-situ sampling of dust in main and glow discharges in LHD and its analysis (Invited), Joint US-Japan Workshop on Dynamics of Dust Particles in Fusion Devices and Non-diffusive Plasma Transport and Its Statistics in Edge Plasmas of Fusion, 2007.01.
1287. M. Shiratani, K. Koga, S. Iwashita, W. M. Nakamura, H. Miyahara, S. Nunomura, M. Kondo, Si thin film deposition process for the future solar cells (Invited), 4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials, 2006.12.
1288. M. Shiratani, K. Koga, Y. Watanabe, S. Nunomura, and M. Kondo, Production of size-controlled Si nano-crystallites using SiH4+H2 discharges and its application to optoelectronic films (Invited), International Symposium on EcoTopia Science2005 (ISETS05), 2005.08.
1289. M. Shiratani, K. Takenaka, M. Takeshita, M. Kita, K. Koga, and Y. Watanabe, Anisotropic Cu Deposition using Plasma Chemical Vapor Deposition (Invited), American Vacuum Society 50th International Symposium, 2003.11.
1290. M. Shiratani, T. Kakeya, K. Koga, and Y. Watanabe, Silicon nano-structure formation using plasma under micro-G and one G conditions (Invited), 56th Annual Gaseous Electronics Conference, 2003.10.
1291. M. Shiratani, K. Takenaka, M. Takeshita, K. Koga, and Y. Watanabe, Copper plasma CVD (Invited), 37th IUVSTA Workshop on Plasma Deposition of Advanced Materials, 2003.09.
1292. M. Shiratani, K. Koga, and Y. Watanabe, Control of deposition profile of Cu for LSI interconnects by plasma chemical vapor deposition (Invited), 16th International Symposium on Plasma Chemistry, 2003.06.
1293. K. Koga, M. Shiratani, Y. Watanabe, Cluster-suppressed plasma CVD method employing VHF discharges, Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas, 2002.12.
1294. M. Shiratani, R. Uehara, K. Koga, Y. Watanabe, Carbon particle formation due to interaction between H2 plasmas, carbon wall, Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas, 2002.12.
1295. M. Shiratani, M. Kai, K. Koga, Y. Watanabe, Silicon nano-particles formed under one-G, micro-gravity plasmas, Fine Particle Plasmas: Basis, Applications - Third Workshop on Fine Particle Plasmas, 2002.12.
1296. K. Koga, K. Imabeppu, M. Kai, A. Harikai, M. Shiratani, Y. Watanabe, Correlation between cluster amount, qualities of a-Si:H films for SiH4 plasma CVD, American Vaccum Society 49th International Symposium, 2002.11.
1297. M. Shiratani, K. Takenaka, M. Onishi, K. Koga, Y. Watanabe, T. Shingen, Conformal, anisotorpic deposition of Cu films using H-assisted plasma CVD, American Vaccum Society 49th International Symposium, 2002.11.
1298. K. Takenaka, M. Onishi, M. Takenaka, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe, Anisotropic deposition of copper by plasma CVD method, 24th International Symposium on Dry Process, 2002.10.
1299. M. Shiratani, K. Takenaka, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, Y. Watanabe, T. Shingen, Conformal deposition of ultra thin, smooth Cu films in trenches using Cu(EDMDD)2 by H-assisted plasma CVD, 2nd ECS International Semiconductor Technology Conference, 2002.09.
1300. K. Koga, R. Ueharaa, M. Shiratani, Y. Watanabe, A. Komori, Carbon nano-particles due to interaction between H2 plasmas, carbon wall, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1301. M. Shiratani, M. Kai, K. Imabeppu, K. Koga, Y. Watanabe, Correlation between Si cluster amount in silane HF discharges, quality of a-Si:H films, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1302. K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, Anisotropic deposition of Cu with H-assisted plasma CVD, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1303. K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen, Conformal deposition of pure Cu films in trenches by H-assisted plasma CVD using Cu(EDMDD)2, Joint Meeting of 16th European Conference on Atomic, Molecular Physics of Ionized Gases, 5th International Conference on Reactive Plasmas, 2002.07.
1304. M. Shiratani, K. Koga, Y. Watanabe, Cluster-supressed plasma CVD for deposition of high quality a-Si:H films (Invited), European Materials Research Society 2002 Spring Meeting, 2002.06.
1305. K. Takenaka, M. Shiratani, M. Onishi, M. Takeshita, T. Kinoshita, K. Koga, Y. Watanabe, Anisotropic deposition of copper by H-assisted plasma chemical vapor deposition, European Materials Research Society 2002 Spring Meeting, 2002.06.
1306. K. Koga, K. Imabeppu, M. Kai, A. Harikai, M. Shiratani, Y. Watanabe, Suppression methods of cluster growth in silane discharges, their application to deposition of super high quality a-Si:H films, International Workshop on Information, Electrical Engineering (IWIE2002), 2002.05.
1307. K. Takenaka, M. Onishi, T. Kinoshita, K. Koga, M. Shiratani, Y. Watanabe, T. Shingen, Deposition of Cu films in trenches for LSI interconnects by H-assisted plasma CVD method, International Workshop on Information, Electrical Engineering (IWIE2002), 2002.05.
1308. K. Koga, M. Shiratani, Y. Watanabe, In situ mesurement of size, density of particles in sub-nm size range, Seminar of Particle Technology Division of Korean Chemical Engineering, 2002.02.
1309. K. Koga, M. Shiratani, Y. Watanabe, In situ mesurement of size, density of particles in subnm size range (Invited), The Seminar of Particle Technology Division of Korean Chemical Engineering, 2002.02.
1310. M. Shiratani, K. Koga, Y. Watanabe, Deposition of high quality Si films by suppressing cluster growth in SiH4 high-frequency discharges, Seminar of Particle Technology Division of Korean Chemical Engineering, 2002.02.
1311. K. Koga, M. Shiratani, Y. Watanabe, Preliminary experiments on dust particles formation due to interaction between plasma, graphite wall, Fine Particle Plasmas: Basis, Applications - Second Workshop on Fine Particle Plasmas, 2001.12.
1312. M. Shiratani, M. Kai, K. Imabeppu, K. Koga, Y. Watanabe, Cluster formation model in SiH4 plasmas, its application to deposition of high quality a-Si:H films, Fine Particle Plasmas: Basis, Applications - Second Workshop on Fine Particle Plasmas, 2001.12.
1313. K. Takenaka, H. J. Jin, M. Onishi, K. Koga, M. Shiratani, Y. Watanabe, Deposition of pure copper thin films by H-assisted plasma CVD using a new Cu complex Cu(EDMDD)2, International Symposium on Dry Process, 2001.11.
1314. Y. Watanabe, A. Hariaki, K. Koga, M. Shiratani, Electron-, ion-densities in silane high frequency discharges, 54th Annual Gaseous Electronics Conference, 2001.10.
1315. K. Koga, T. Sonoda, N. Shikatani, M. Shiratani, Y. Watanabe, Deposition of super high quality a-Si:H thin films using cluster-suppressed plasma CVD reactor, International Conference on Phenomena in Ionized Gases, 2001.07.
1316. M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe, Behavior of a particle injected in ion sheath of electropositive, electronegative gas discharges, International Conference on Phenomena in Ionized Gases, 2001.07.
1317. M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe, Development of H-assisted plasma CVD reactor for Cu interconnects, International Conference on Phenomena in Ionized Gases, 2001.07.
1318. Y. Watanabe, M. Shiratani, K. Koga, Clustering phenomena in low-pressure reactive plasmas: base, applications (invited), 15th International Symposium on Plasma Chemistry, 2001.07.
1319. Y. Watanabe, M. Shiratani, K. Koga, Nucleation, subsequent growth of clusters in reactive plasmas (invited), International Conference on Phenomena in Ionized Gases, 2001.07.
1320. Y. Watanabe, M. Shiratani, K. Koga, Electron, ion densities in SiH4 HF discharges, 9th Workshop on the Physics of Dusty Plasmas, 2001.05.
1321. M. Shiratani, K. Koga, Y. Watanabe, Cluster-less plasma CVD reactor, its application to a-Si:H film deposition, 2001 MRS Spring Meeting, 2001.04.
1322. M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, T. Kinoshita, Y. Watanabe, H-assisted plasma CVD using Cu(hfac)2, Cu(EDMDD)2, 2001 MRS Spring Meeting, 2001.04.
1323. K. Koga, K. Tanaka, M. Shiratani, Y. Watanabe, Effects of H2 dilution, excitation frequency on initial growth of clusters in silane plasmas, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1324. M. Shiratani, A. Toyozawa, K. Koga, Y. Watanabe, Behavior of a particle injected in ion sheath, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1325. M. Shiratani, T. Sonoda, N. Shikatani, K. Koga, Y. Watanabe, Development of cluster-suppressed plasma CVD reactor for high quality a-Si:H film deposition, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1326. M. Shiratani, N. Shiraishi, K. Koga, Y. Watanabe, Measurements of surface reaction probability of SiH3, Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1327. M. Shiratani, K. Koga, Y. Watanabe, Plasma CVD method for Cu interconnects in ULSI (invited), Plasma Science Symposium 2001/ 18th Symposium on Plasma Processing, 2001.01.
1328. K. Koga, K. Tanaka, T. Tokuyasu, M. Shiratani, Y. Watanabe, Initial growth of clusters in silane rf discharges, 53rd Annual Gaseous Electronics Conference, 2000.10.
1329. M. Shiratani, H. J. Jin, K. Takenaka, K. Koga, Y. Watanabe, H assisted control of quality, conformality in Cu film deposition using plasma CVD method, Advanced Metallization Conference 2000, 2000.10.
1330. Y. Watanabe, K. Koga, H. J. Jin, Y. Nakatake, T. Kinoshita, M. Shiratani, Effects of H irradiation on properties of Cu films deposited by plasma CVD, 53rd Annual Gaseous Electronics Conference, 2000.10.
1331. Y. Watanabe, M. Shiratani, K. Koga, Formation kinetics, control of dust particles in capacitively-coupled reactive plasmas (invited), International Topical Conference on Plasma Physics: Colloidal Plasma Science, 2000.05.
1332. Y. Watanabe, M. Shiratani, K. Koga, Recent progress in study on cluster growth kinetics in silane rf plasmas (invited), 4th European Workshop on Dusty, Colloidal Plasma, 2000.05.
1333. M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe, Methods of suppressing cluster growth in silane rf discharges, 2000 MRS Spring Meeting, 2000.04.
1334. M. Shiratani, H. J. Jin, Y. Nakatake, K. Koga, Y. Watanabe, Thin smooth Cu films deposited in deep submicron trench by plasma CVD reactor with H atom source, 2000 MRS Spring Meeting, 2000.04.
1335. Y. Watanabe, M. Shiratani, K. Koga, Initial growth kinetics of clusters in processing plasmas, 8th Workshop on the Physics of Dusty Plasma, 2000.04.
1336. M. Shiratani, H. J. Jin, Y. Nakatake, K. Koga, Y. Watanabe, Control of surface reactions for conformal deposition of Cu in fine trench structure (invited), 'International Workshop on Basic Aspects of Non-equilibrium Plasmas Interacting with Surfaces, 2000.01.
1337. M. Shiratani, N. Shiraishi, K. Koga, Y. Watanabe, Surface reaction probability of radicals in CW, pulsed RF triode SiH4 discharges, International Workshop on Basic Aspects of Non-equilibrium Plasmas Interacting with Surfaces, 2000.01.
1338. M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe, A-Si:H Film Deposition Using Plasma CVD with Suppression of Cluster-Size Particles, 1999 American Vacuum Society International Symposium, 1999.10.
1339. Y. Watanabe, M. Shiratani, K. Koga, A. Hatae, A. Toyozawa, Behabior of a Particle in Plasma-Wall Sheath Region, 52nd Annual Gaseous Electronics Conference, 1999.10.
1340. H. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Kinoshita, Y. Watanabe, Complete Filling of High-Purity Copper in Sub-quater-Micron Trench Structure Using Plasma CVD Reactor with H Atom Source, 1999 American Vacuum Society International Symposium, 1999.10.
1341. Y. Watanabe, M. Shiratani, K. Koga, S. Maeda, Y. Matsuoka, K. Tanaka, Growth Suppression of Cluster-Size Particles in Silane RF Discharges, 52nd Annual Gaseous Electronics Conference, 1999.10.
1342. Y. Watanabe, M. Shiratani, T. Fukuzawa, K. Koga, Growth Processes of Particles up to Nanometer in High-Frequency SiH4, GeH4 RF Plasmas (invited), 24th International Conference on Phenomena in Ionized Gases, 1999.07.
1343. M. Shiratani, K. Koga, Y. Watanabe, Recent Advance in Understanding Formation of Particles in SiH4 RF Discharges (invited), 12th Symposium on Plasma Science, Materials, 1999.06.
1344. H. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Fukuzawa, T. Kinoshita, Y. Watanabe, M. Toyofuku, Void Free Filling of High-Purity Copper in Subquater-Micron Trench Structure Using Plasma Reactor with H Atom Source, 12th Symposium on Plasma Science, Materials, 1999.06.
1345. K. Koga, H. Naitou, Y. Kawai, Characteristics of Asymmetric Ion Sheath in a Negative Ion Plasma, 2nd International Conference on the Physics of Dusty Plasmas, 1999.05.