Kyushu University Academic Staff Educational and Research Activities Database
List of Presentations
Naho Itagaki Last modified date:2023.11.27

Professor / Department of I&E Visionaries / Faculty of Information Science and Electrical Engineering


Presentations
1. 山下尚人, A. Agustrisno, 奥村賢直, 鎌滝晋礼, 板垣奈穂, 古閑一憲, 白谷正治, C. Marrows, Non-off Axis Sputtering Deposition of Ferrimagnetic Insulator Film with Perpendicular Magnetic Anisotropy, 第70回応用物理学会 春季学術講演会, 2023.03.
2. N. Itagaki, Structural Control of Sputter Deposited Films Using Impurities, International C+Nano Convergence Technology Symposium (ICTS), 2023.01.
3. Z. Shen, Y. Mido, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani1, H. Yabuta, N. Itagaki, A Study of Solid-phase Crystallization of Amorphous ZnON Films, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
4. K. Kamataki, Y. Mido, I. Nagao, D. Yamashita, T. Okumura, N.Yamashita, K. Koga, N. Itagaki, M. Shiratani, Developing Prediction of Key Process Parameters and Sputtering Conditions for Amorphous and high Mobility ITO/In2O3 Films via Machine Learning, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
5. T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Effects of lower discharge frequency on plasma parameters in capacitively coupled plasmas studied by particle-in-cell/Monte Carlo collision method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
6. N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Microscopic analysis of single crystalline Zn1-xMgxO thin films on sapphire grown via inverted Stranski-Krastanov mode, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
7. R. Mitsuishi, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of RF powers on the crystal quality and surface morphology of single crystalline ZnO films deposited by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
8. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of thermal treatment of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated by magnetron sputtering, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
9. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Masaharu, Effects of Gas Pressure on Deposition Characteristics of Hydrogenated Amorphous Carbon Films Produced by Ar + CH4 Capacitively Coupled Plasma, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
10. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation Discharge on Ion Energy and Angular Distributions studied by PIC-MCC method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
11. A. Yamamoto, I. Nagao, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fluctuation of plasma and amount of nanoparticles in TEOS amplitude modulated rf discharge, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
12. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar and Ar/Ne capacitively coupled radio frequency plasma., 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
13. K.Yataka, N. Yamashita, D. Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline Zn1-xMgxO films on sapphire substrate via inverted Staranski-Krastanov mode: effects of substrate , 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
14. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. 0kumura, K. Kamataki, N. Yamashita, N. Itagaki, M.Shiratani, Structural Characteristics of Carbon Sphere Composite Deposited by Ar+CH4 Plasma Chemical Vapor Deposition Method, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
15. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Measurement of fluctuation of electric field in Ar plasmas using a fine particle trapped with laser tweezers, 7th International Conference on Advances in Functional Materials(AFM-2022), 2023.01.
16. K. Koga, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Kuchitsu, K. Ishikawa, M. Hori, Influence of Seed Dormancy on Plasma Irradiation Effects on their Germination, 第32回日本MRS年次大会, 2022.12.
17. T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on biomolecules extracted from plasma-irradiated plant seeds using quadrupole mass spectrometer, 第32回日本MRS年次大会, 2022.12.
18. T. Anan, T. Nakao, T. Okumura, P. Attri, D. Yamashita, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma Irradiation-induced Molecular Modification of Quercetin as Plant Antioxidant, 第32回日本MRS年次大会, 2022.12.
19. S. Yamamoto, T. Nakao, T. Anan, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Yanagawa, S. Shimada, Improvement of Germination Characteristics of Sorghum bicolor Seeds Irradiated by Surface Discharge Plasma, 第32回日本MRS年次大会, 2022.12.
20. K. Ikeda, M. Otaka, T. Arima, J. Lai, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Discharge frequency dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, 第32回日本MRS年次大会, 2022.12.
21. K. Kamataki, T. Sato, K. Tomita, Y. Pan, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurement of the charge on single fine particles in plasma, 第32回日本MRS年次大会, 2022.12.
22. J. Lai, T. Arima, M. Otaka, I. Nagao, K. Kamataki, D. Yamashita, N. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of dual frequency method in capacitively coupled plasmas using particle-in-cell/Monte Carlo method, 第32回日本MRS年次大会, 2022.12.
23. Y. Yamamoto, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulated Discharge on SiO2 film qualities in TEOS Plasma CVD, 第32回日本MRS年次大会, 2022.12.
24. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani , Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition, 第32回日本MRS年次大会, 2022.12.
25. K. Kamataki, T. Sato, k. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Electric fields measurement using an optically trapped charged particle in Ar plasma (Invited), 第32回日本MRS年次大会, 2022.12.
26. F. L. Chawarambwa, M. Shiratani, K. Kamataki, N. Itagaki, Predictive Analytics in Impurity Mediated Amorphization Plasma Sputtering Process using Machine Learning tools and Techniques, 第32回日本MRS年次大会, 2022.12.
27. H.Yabuta, N. Itagaki, T. Ekino, Y. Shigesato, Amorphous In-Ga-Mg-O thin film: Optical, Electrical Properties and TFT characteristics, 第32回日本MRS年次大会, 2022.12.
28. N. Itagaki, Sputtering Growth of Single-Crystalline ZnO Based Semiconducting Films on Large Lattice-Mismatched Substrates (Invited), International Workshop on Magnetron Sputter Epitaxy (IWMSE), 2022.11.
29. Y. Nakano, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, H. Kiyama, H. Yabuta, N. Itagaki, Sputter epitaxy of (ZnO)x(InN)1-x films on sapphire substrates using ZnON buffer layers, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
30. Z. Shen, H. Yabuta, Y. Wada, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Kiyama, N. Itagaki, Sputtering deposition of ZnO:Al Transparent Conducting Films on Seed Layers Crystallized via Thermal Annealing of Amorphous ZnON Films, 2022年(令和4年度)応用物理学会九州支部学術講演会 / The 7th Asian Applied Physics Conference, 2022.11.
31. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions, DPS2022, 2022.11.
32. T. Anan, T. Nakao, T. Okumura, P. Attri, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics, DPS2022, 2022.11.
33. T. E. Putri, F. L. Chawarambwa, P. Attri, K. Kamataki, N. ltagaki, K. Koga, M. Shiratani, Enhancing output power of polymer electrolytes DSSCs with V-shape low­concentrated light systems, PVSEC-33, 2022.11.
34. N. Itagaki, Structural Control of Sputter Deposited Films beyond the Thornton Diagram Using Impurities (keynote), AAPPS-DPP 2022, 2022.10.
35. T.Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N.Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of electric field measurements in Ar plasmas using an optically trapped fine particle, AAPPS-DPP 2022, 2022.10.
36. T. Okumura, T. Anan, P. Attri, Y. Tsukada, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Plasma irradiation-introduced RONS amount into plant seeds and their response analysis, ICRP-11/GCE2022, 2022.10.
37. S. Ono, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Process analysis of cracking aC: H/CNP/aC: H sandwich films under stress using nanoindentation, ICRP-11/GCE2022, 2022.10.
38. M. Shiratani, D. Takahashi, N. Yamashita, N. Itagaki, Sputter epitaxy of Mg-doped ZnO films on sapphire substrates using inverted Stranski-Krastanov mode, ICRP-11/GCE2022, 2022.10.
39. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, N. Takeuchi, Plasma induced conversion of CO2 with water to useful compounds, ICRP-11/GCE2022, 2022.10.
40. T. Anan, T. Nakao, T. Okumura, P. Attri, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of plasma irradiation on germination of lettuce seeds with fluctuating dormancy, ICRP-11/GCE2022, 2022.10.
41. M. Otaka, T. Arima, J. Lai, K. Ikeda, K. Kamataki, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Pressure dependence on spatio-temporal distribution of excitation rates of Ar 2p1 and Ne 2p1 in Ar and Ar/Ne capacitively coupled plasmas, ICRP-11/GCE2022, 2022.10.
42. A. M. Nurut, N. Yamashita, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, The role of post-annealing treatment on ZnO:Co structure and magnetic transition grown by sputtering deposition, ICIEE 2022, 2022.10.
43. I. Nagao, A. Yamamoto, Y. Yamamoto, K. Kamataki, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effects of amplitude modulation discharge on behavior of oxygen ions in Ar/O2 capacitively coupled plasma studied by particle-in-cell/Monte Carlo collision model, ICRP-11/GCE2022, 2022.10.
44. K. Kamataki, T. Sato, K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of strength and fluctuation of 2D electric fields in plasmas using a fine particle trapped with laser tweezers(Invited), ICRP-11/GCE2022, 2022.10.
45. K. Koga, P. Attri, T. Okumura, T. Anan, T. Nakao, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Role of short-lived nitrogen species generated at low-pressure RF plasma on the germination and seedling growth, ICRP-11/GCE2022, 2022.10.
46. P. Attri, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, Y. Tsukada, N. Itagaki, M. Shiratani, Role of plasma treatment on radish seeds affects germination, morphology, and biochemical responses, 第83回応用物理学会秋季学術講演会, 2022.09.
47. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of amplitude modulated discharge on suppression of nanoparticle growth in TEOS/O2/Ar capacitively coupled plasma, IVC-22, 2022.09.
48. S. Ono, S. H. Hwang, T. Okumura, K. Koga, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Eff ects of Modifi cation of Film Interface by Nanoparticles on Mechanical Properties of Films, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
49. K. Kamataki, A. Yamamoto, I. Nagao, Y. Yamamoto, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Eff ects of Amplitude Modulated RF Discharge on Properties of SiO2 Films Deposited by TEOS/O2/Ar Plasma-Enhanced Chemical Vapor Deposition, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
50. N. Itagaki, Y. Mido, Z. Shen, N. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Low-Resistive Amorphous In2O3 :Sn Films at 600°C by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
51. A. M. Nurut, R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Control of Crystal Structure and Magnetic Properties of ZnO: Co Films Grown by Magnetron Sputtering Using Nitrogen, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
52. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of surface polarity of ZnO substrates on epitaxial growth of (ZnO)x(InN)1-x films fabricated at room temperature, 9th International Symposium on Control of Semiconductor Interfaces, 2022.09.
53. A. M. Nurut, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, N. Itagaki, M. Shiratani, Magnetic properties of Co-doped ZnO films fabricated by sputtering using mediated crystallization., IUMRS-ICYRAM 2022, 2022.08.
54. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, T. Okumura, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Ion energy and angular distribution functions in amplitude modulated capacitively coupled Ar discharge plasma obtained using particle-in-cell Monte Carlo collision model simulation, IUMRS-ICYRAM 2022, 2022.08.
55. M. Otaka, D. Nagamatsu1, T. Arima, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time resolved optical emission spectroscopy in Ar/Ne capacitively coupled radio frequency plasma, IUMRS-ICYRAM 2022, 2022.08.
56. T. Arima, M.Otaka, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Spatio-temporal structures of plasma density in lower driving rf frequency plasmas studied by particle-in-cell/Monte Carlo collision method, IUMRS-ICYRAM 2022, 2022.08.
57. T. E. Putri, F. L. Chawarambwa, A. Pankaj, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Thermoplastic Polymer Electrolytes for DSSCs Performance Under V-Shape Low-Concentrated Light, IUMRS-ICYRAM 2022, 2022.08.
58. A. Pankaj, T. Okumura, F. L. Chawarambwa, T. E. Putri, N. Takeuti, K. Koga, K. Ishikawa, K. Kamataki, M. Shiratani, Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds, IUMRS-ICYRAM 2022, 2022.08.
59. N. Yamashita, A. Agusutrisno, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Increase of Blocking Temperature in Co-doped ZnO by Using NitrogenMediated Crystallization, ICMFS-2022, 2022.07.
60. K. Kamataki, S. Okunaga,T. Sato,K. Tomita, P. Yimin, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Development of Measurement of Two-Dimensional Distribution of Strength of Electrical Field with High Spatial Resolution Using Optical Trapped Particle in Plasma, MRS spring meeting 2022, 2022.05.
61. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N.Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
62. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M.Shiratani, Growth of Nanoparticles in TEOS rf Plasma with Amplitude Modulation, MRS spring meeting 2022, 2022.05.
63. N. Yamashita, Y. Nakamura, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Key parameters for single crystalline ZnO film growth by magnetron sputtering via inverted Stranski-Krastanov mode, MRS spring meeting 2022, 2022.05.
64. T. Okumura , K. Koga , P. Attri , K. Kamataki , N. Yamashita , N. Itagaki, M. Shiratani, Quantitative Evaluation through LC-QqQ MS/MS for RONS Induced into Dry Seeds by Non-Thermal Plasma Irradiation, MRS spring meeting 2022, 2022.05.
65. R. Mitsuishi, N. Yamashita, D.Takahashi, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Single-Crystalline ZnO Films on Sapphire Substrates via Inverted Stranski-Krastanov Mode by Low-Power Magnetron Sputtering, MRS spring meeting 2022, 2022.05.
66. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, N. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, J-S. Oh, S. Takabayashi, T. Nakatani, Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
67. M. Otaka, D. Nagamatsu, T. Arima, K. Kamataki, D. Yamashita, N. yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Time Resolved Optical Emission Spectroscopy in Ar and Ar/Ne Capacitively Coupled Radio Frequency Plasma, MRS spring meeting 2022, 2022.05.
68. T. Arima , T. Yang, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effects of rf Frequency on Plasma Density in Capacitively Coupled Plasmas at Low Pressure Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
69. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, MRS spring meeting 2022, 2022.05.
70. I. Nagao, A. Yamamoto, K. Kamataki, D. Yamashita, N. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Amplitude Modulation Frequency Dependence of Ion Energy Distribution in Capacitively Coupled Discharge Plasma Studied by Particle-in-Cell/Monte Carlo Collision Method, MRS spring meeting 2022, 2022.05.
71. S. Ono, S. H. Hwang, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, S. Takabayashi, T. Nakatani, Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition, MRS spring meeting 2022, 2022.05.
72. K. Koga, S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Yamashita, N. Itagaki, M. Shiratani, Deposition of Carbon Nanoparticles of 5 nm in Size on Positively Biased Substrates using Multi-Hollow Discharge Plasma CVD Method, ISPlasma2022/IC-PLANTS2022, 2022.03.
73. H. Tanaka, T. Okumura, P. Attri, T. Anan, K. Kamataki, N. Itagaki, M. Shiratani, Y. Ishibashi, M. Nakano, K. Namiki, S. Tsuboyama, K. Hashimoto, K. Kuchitsu, H. Hashizume, K. Ishikawa, M. Hori, K. Koga, Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds, ISPlasma2022/IC-PLANTS2022, 2022.03.
74. Z. Shen, N. Yamashita, Y. Mido, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, H. Yabuta, N. Itagaki , Solid-phase Crystallization of Sputter-deposited ZnON Films and Their Impacts as Seed Layers for ZnO:Al Transparent Conducting Oxides, プラズマ・核融合学会九州・沖縄・山口支部 第24回支部大会, 2021.12.
75. N. Itagaki, Development of New Oxynitride Semiconductors with Tunable Band Gaps Using Magnetron Sputtering(Invited), Materials Research Meeting 2021 (MRM2021), 2021.12.
76. M. Shiratani, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga , N2/SiH4 flow rate ratio dependence of nanoparticle incorporation in SiNx films deposited by plasma CVD, Materials Research Meeting 2021 (MRM2021), 2021.12.
77. F. Chen, K. Kamataki, Y. Tao, S. Okunaga, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Key Process Parameters of Plasma CVD for Fabricating a-Si:H Solar Cells through Boosting Technique, Materials Research Meeting 2021 (MRM2021), 2021.12.
78. K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, P. Attri, Possible plasma oxidation effect on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2): A computational study, Materials Research Meeting 2021 (MRM2021), 2021.12.
79. Y.Tao, D. Nagamatsu, K. Kamataki, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Measurements of Radial and Vertical Electric Field in Capacitively Coupled Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
80. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Structural analysis of carbon nanoparticle composite films prepared by Ar+CH4 multi-hollow plasma chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
81. S. Okunaga, T. Sato, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, T. Okumura, N.Itagaki, K. Koga, M. Shiratani, Calibration of force acting on an optically trapped particle in Ar plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
82. T. Sato, S. Okunaga, K. Kamataki, K. Tomita, P. Yiming, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study of Position Fluctuation of a Fine Particle Trapped with Laser Tweezers in Ar Plasma, Materials Research Meeting 2021 (MRM2021), 2021.12.
83. K. Kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Investigation of 2D electric field measurements in Ar plasmas using a fine particle trapped with laser tweezers, Materials Research Meeting 2021 (MRM2021), 2021.12.
84. K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Ion Energy Distribution Function in DC Pulse biased Capacitively Coupled Plasma Discharge by using Particle-In-Cell/Monte Carlo Collision Model, Materials Research Meeting 2021 (MRM2021), 2021.12.
85. T. Okumura, T. Anan, H. Tanaka, D. Yamashita, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Y. Ishibashi, Y. Fujimoto, M. Kumauchi, H. Matsui, Effect of intraseed moisture and plasma irradiation on lettuce seed surface, Materials Research Meeting 2021 (MRM2021), 2021.12.
86. M. Ideguchi, T. Hasegawa, D. Yamashita, S. Toko, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse rf discharge on carbon dioxide methanation in plasma catalytic method, Materials Research Meeting 2021 (MRM2021), 2021.12.
87. S. Ono, S. H. Hwang, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani , Structural Analysis of Hydrogenated Amorphous Carbon Films Deposited by Capacitively Coupled Plasma Chemical Vapor Deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
88. A. Yamamoto, K. Abe, I. Nagao, M. Otaka, D. Yamashita, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Effect of rf bias on the film property of amorphous silicon oxide films deposited by plasma enhanced chemical vapor deposition, Materials Research Meeting 2021 (MRM2021), 2021.12.
89. N. Itagaki, Inverted Stranski-Krastanov Growth of ZnO Based Semiconductors for Excitonic Devices(Invited), Materials Research Meeting 2021 (MRM2021), 2021.12.
90. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, Investigation of Growth Suppression Mechanism of Nanoparticles through Amplitude Modulation Discharge Method in TEOS Plasma, the 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12), 2021.12.
91. T. E. Putri, L. F. Chawarambwa, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Flowing Electrolyte System of Bifacial Dye-Sensitized Solar Cells Under Low-Concentrated Light, MRS fall meeting 2021, 2021.12.
92. N. Itagaki, Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures(Invited), MRS fall meeting 2021, 2021.12.
93. D. Takahashi, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Inverted Stranski-Krastanov Growth of Single-Crystalline Zn Mg O Films on Sapphire Substrates using Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
94. R. Narishige, N. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of Atomically Flat Single-crystalline (ZnO) (InN) Films on O-polar ZnO Substrates by Magnetron Sputtering, MRS fall meeting 2021, 2021.12.
95. Y. Mido, K. Takeda, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, M. Hori, N. Itagaki, High-temperature Growth of Amorphous In O :Sn Films by Magnetron Sputtering using Nitrogen, MRS fall meeting 2021, 2021.12.
96. T. Anan, H. Tanaka,T. Okumura, P. Attri,D. Yamashita,K. Kamataki,K. Koga, N. Itagaki, M. Shiratani, Y.Ishibashi, Effects of Plasma Irradiation to Moistened Seeds on Radical Amount, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
97. R. Mitsuishi, D. Takahashi, D. Yamashita, T. Okumura, N. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of single crystalline ZnO films on sapphire substrates via inverted Stranski-Krastanov mode: effects of RF power, The 6th Asian Applied Physics Conference (Asian-APC), 2021.12.
98. S. H. Hwang, S. Ono, D. Yoshikawa, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Low-stress diamond-like carbon films containing carbon nanoparticles produced by combining rf sputtering and plasma chemical vapor deposition, Japan-RUB Workshop, 2021.11.
99. K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, M. Shiratani, S. Tahara, Y. Mizokami, Y. Miyata, K. Tabuchi, T. Tanikuni, S. Hiyama, K. Nagahata, Better step coverage of TEOS-PECVD SiO2 films realized by amplitude modulation of RF discharge voltage, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
100. M. Shiratani, K. Kamataki, K. Abe, A. Yamamoto, I. Nagao, M. Otaka, D. Yamashita, N. Itagaki, T. Okumura, K. Koga, Study of effect of amplitude modulated discharge on growth of nanoparticles in TEOS plasma, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
101. S. H. Hwang, S. Ono, T. Okumura, K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, J.-S. Oh, S. Takabayashi, T. Nakatani, Reduction of compressive stress of hydrogenated amorphous carbon films by inserting carbon nanoparticle layer using plasma CVD, 42nd International Symposium on Dry Process (DPS2021), 2021.11.
102. K. Koga, Y. Ishibashi, C. Suriyasak, T. Okumura, H. Tanaka, P. Attri, K. Matsuo, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, Molecular Analysis of Plasma-Induced Germination Improvement of Rice Seeds With High-Temperature Stress Damage, AVS67, 2021.10.
103. K. Koga, S. H. Hwang, P. Attri, K. Kamataki, N. Itagaki, M. Shiratani, Transport of Nanoparticles in Afterglow Region Using Multi-Hollow Discharge Plasma CVD, 74th Annual Gaseous Electronics Conference, 2021.10.
104. N. Itagaki, Sputter epitaxy of ZnO based oxide/oxynitride semiconductors for excitonic transistors(Invited), 5th Asia-Pacific Conference on Plasma Physics, 2021.10.
105. P. Attri,H. Kurita, T. Okumura, K. Koga, M. Shiratani, Effect of plasma treatment on MDM2 and p53 expression in cancer cells, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
106. K. Abe, A.Yamamoto, I.Nagao, M.Otaka, D.Yamashita, K.Kamataki, T.Okumura, N.Itagaki, K.Koga, M.Shiratani, Suppression of nanoparticle growth in TEOS plasma by amplitude modulation discharge method, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
107. K. kamataki, S. Okunaga, T. Sato, K. Tomita, P. Yimin, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Study on measurement of strength and fluctuation of electrical field using optical trapped particle in Ar plasma, 5th Asia-Pacific Conference on Plasma Physics, 2021.09.
108. S. Okunaga, K. Kamataki, K. Tomita, Y. Pan, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, 2D electric field measurements in Ar plasmas using a fine particle trapped with optical tweezers, Plathinium 2021 , 2021.09.
109. R. Narishige, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of (ZnO)X(InN)1-X films by magnetron sputtering: effects of surface polarity of ZnO substrates, Plathinium 2021 , 2021.09.
110. M. Ideguchi, T. Hasegawa, K. Kamataki, S. Toko, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Relation between CH4 yield and CO emission intensity gradient in plasma-assisted CO2 methanation, Plathinium 2021 , 2021.09.
111. S. H. Hwang, S. Ono, T. Okumura, K. Kamataki, N. Itagaki, K. Koga, T. Nakatani, M. Shiratani, Effects of Carbon Nanoparticles Inserted between Two Diamond Like Carbon Layers Films on Residual Stress of Films Deposited by Plasma Chemical Vapor Deposition, INTERFINISH 2020, 2021.09.
112. K. Koga, S. H. Hwang, T. Okumura, P. Attri, K. Kamataki, N. Itagaki, J. S. Oh, S. Takabayashi, T. Nakatani, M. Shiratani, Production of Hollow Carbon Nanoparticles using Multi-Hollow Discharge Plasma CVD, INTERFINISH 2020, 2021.09.
113. K. Koga, S. H. Hwang, K. Kamataki, P. Attri, N. Itagaki, M. Shiratani, Structure control ofa:C-H film nanocomposite using a combination of rf sputtering and plasma CVD, Thermec2021, 2021.06.
114. K.Koga‚ S. H. Hwang‚ K. Kamataki‚ N. Itagaki‚ M. Shiratani, High Throughput Deposition of Hydrogenated Amorphous Carbon Films using High-Pressure Ar+CH4 Plasmas, ICMCTF2021, 2021.04.
115. S. Okunaga, K. Kamataki, K. Tomita, P. Yimin, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Electric Field Measurements in Ar plasmas using a Fine Particle Trapped with Optical Tweezers, ISPlasma2021/IC-PLANTS2021, 2021.03.
116. S. Urakawa, K. Kaneshima, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. shiratani, N. Itagaki, Sputter deposition of ZnAlO films with tunable bandgaps from 3.4 to 6.1 eV, ICMAP 2020 & ISFM 2020, 2021.01.
117. K. Kaneshima, S. Urakawa, R. Narishige, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Growth of high-quality (ZnO)x(InN)1-x films by RF magnetron sputtering using a two-step growth process, ICMAP 2020 & ISFM 2020, 2021.01.
118. Y. Nakamura, M. Kikuchi, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagak, Impact of surface morphologies of 3D island layers on the single crystal growth of magnetron sputtered ZnO films, ICMAP 2020 & ISFM 2020, 2021.01.
119. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Structural and Optical Properties of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, ICMAP 2020 & ISFM 2020, 2021.01.
120. Y. Mido, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen mediate amorphization method: Effects of nitrogen flow rate, ICMAP 2020 & ISFM 2020, 2021.01.
121. R. Narishige, K. Kaneshima, S. Urakawa, D. Yamashita, K. Kamataki, T. Okumura, K. Koga, M. Shiratani, N. Itagaki , Effects of surface polarity of ZnO substrates on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-x films, ICMAP 2020 & ISFM 2020, 2021.01.
122. Y. Sasaki, T. Yoshida, K. Abe, K. Yamamoto, K. Kamataki, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of SiO2 films using capacitively coupled TEOS plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
123. K. Kamataki, T. Yoshida, Y. Sasaki, K. Abe, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Film Deposition Process using Spatial Profiles of Plasma Parameters and Nanoparticles in Reactive Plasma, The 21st Workshop on Fine Particle Plasmas, 2020.12.
124. P. Attri, K. Koga, T. Okumura, K. Kamataki, N. Itagaki, M. Shiratani, Impact of Plasma Treatment on the binding of C-terminal Domain of SARS-CoV-2 Spike Protein with Human Angiotensin-Converting Enzyme 2 (hACE2), 第30回日本MRS年次大会, 2020.12.
125. T. Okumura, H. Tanaka, R. Arita, D. Yamashita, K. Matsuo, P. Attri, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth of Rice Cultivated in Field from Plasma-irradiated Seeds and Its Health Assessment for rats, 第30回日本MRS年次大会, 2020.12.
126. K. Koga, P. Attri, T. Anan, R. Arita, H. Tanaka, T. Okumura, D. Yamashita, K. Matsuo, K. Kanataki, N. Itagaki, M. Shiratani, Y. Ishibashi, Impact of Plasma Treatment Time on the Barley Seeds using Electron Paramagnetic Resonance, 第30回日本MRS年次大会, 2020.12.
127. H. Tanaka, R. Kogawa, Y. Oba, M. Fujita, T. Okumura, P. Attri, K. Koga, M. Shiratani, K. Kamataki, N. Itagaki, Nitric Acid Generation by Pulsed Needle-water Discharge Plasma, 第30回日本MRS年次大会, 2020.12.
128. Y. Midou, S. Urakawa, D. Yamashita, T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
129. D. Takahashi, Y. Nakamura, S. Urakawa, D. Yamashita,T. Okumura, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Bandgap Tuning of ZnMgO Films on Sapphire Substrates Fabricated by Sputter Epitaxy, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
130. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki,T. Okumura, K. Koga, M. Shiratani, N. Itagaki, Effects of substrate surface polarity on epitaxial growth of magnetron sputtered (ZnO)x(InN)1-xfilms, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
131. T. E. Putri, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Concave Concentrator and Plane Reflector Mirror to Increase Efficiency of Bifacial Dye-Sensitized Solar Cells, The 5t h Asian Applied Physics Conference (Asian-APC), 2020.11.
132. N. Itagaki, Sputter epitaxy through “inverse” SK mode for a new class of excitonic devices (Invited), 4th Asia Pacific Conference on Plasma Physics, 2020.10.
133. K. Kamataki, S. Okunaga, K. Tomita, D. Yamashita, T. Okumura, N. Itagaki, K. Koga, M. Shiratani, Information on electric field deduced using a fine particle trapped with laser tweezers in Ar plasma, The 73rd Annual Gaseous Electronics Conference, 2020.10.
134. K. Koga, P. Attri, K. Ishikawa, T. Okumura, K. Matsuo, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Role of seed coat color and harvest year on growth enhancement by plasma irradiation to seeds, The 73rd Annual Gaseous Electronics Conference, 2020.10.
135. N. Itagaki, A novel semiconductor ZION for excitonic devices (Invited), Satellite meeting of AAPPS-DPP2020:Workshop on cutting-edge of plasma applications, 2020.08.
136. R. Narishige, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of substrates on the epitaxial growth of (ZnO)x(InN)1-x films , ISPlasma2020/IC-PLANTS2020, 2020.03.
137. K. Kamataki, Y. Sasaki, S. Nagaishi, T. Yoshida, K. Abe, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low temperature fabrication of passivation films by plasma enhanced CVD, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
138. F. L. Chawarambwa, T. E. Putri, Y. Hao, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si3N4/Carbon based PEDOT:PSS Counter Electrode for low-cost Dye-Sensitized Solar Cells, 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
139. T. E. Putri, Y. Hao, F. L. Chawarambwa, H. Seo, Min-Kyu Son, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Activated Carbon Counter Electrode On Bifacial Dye Sensitized Solar Cells (DSSCs), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
140. M. Shiratani, M. Ideguchi, A. Yamamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Methane production for energy storage using low temperature plasma (Invited), 7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020, 4th International Symposium on Energy Research and Application, 2020.01.
141. M. Shiratani, R. Iwamoto, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, Measurements of Electrostatic Potential in RF Ar Plasma Using an Optically Trapped Fine Particle, 20th Workshop on Fine Particle Plasmas, 2019.12.
142. R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Tuning Parameters of Indium Tin Oxide Sputter Processing via Bayesian Optimization, 20th Workshop on Fine Particle Plasmas, 2019.12.
143. S. Nagaishi, Y. Sasaki, K. Kamataki, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of high nitriding and low hydrogen content SiN films at 100°C by controlling cluster formation in plasma, 20th Workshop on Fine Particle Plasmas, 2019.12.
144. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Sputtering Deposition with Impurities: Another Key Parameter to Control Film Structures (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
145. N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, ZnO Based Semiconductors for Excitonic Devices (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
146. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pulse Bias Voltage on Characteristics of a-C:H Film Deposited by High Pressure Ar+CH4 Plasma CVD Process, Materials Research Meeting 2019 (MRM2019), 2019.12.
147. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of higher-order silane molecules on Si-H2 bond and Si-H bond densities in a-Si:H films, Materials Research Meeting 2019 (MRM2019), 2019.12.
148. K. Kamataki, R. Iwamoto, S. Okunaga, S. Muraoka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Developing Prediction of Amorphization Condition Models from Sputter Deposition Experimental Results by Machine Learning Method, Materials Research Meeting 2019 (MRM2019), 2019.12.
149. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Impact of Plasma Fluctuation Driven by Amplitude Modulated VHF Discharge on Growth of Nanoparticles in Reactive Plasma (Invited), Materials Research Meeting 2019 (MRM2019), 2019.12.
150. Field Test of Cultivation of Plasma Irradiated Rice Seeds.
151. Correlation between CO emission intensity and CO2 methanation yield using low pressure and low temperature plasma and catalyst.
152. Search for deposition conditions for high mobility amorphous ITO / In2O3 by Bayesian optimization.
153. Suppression of high-density region of Si-H2 in a-Si:H films by Multi-hollow discharge plasma CVD method at high gas velocity.
154. The effects of Substrate temperature on (ZnO)x(InN)1-x Fabricated by Sputter Epitaxy
.
155. Growth of single crystal ZnO films on sapphire substrates by sputter epitaxy.
156. Fabrication of low hydrogen content SiN film at low substrate temperature by control of cluster formation in reactive plasma
.
157. S. Urakawa, K. Kaneshima, D. Yamashita, K. Kamataki, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide-gap amorphous ZnAlON
films with tunable bandgaps from 3.4 to 4.2 eV, 第36回プラズマ・核融合学会年会, 2019.11.
158. Fabrication of amorphous In2O3:Sn films with low resistivity via impurity mediated amorphization: Effects of substrates temperature.
159. Precision control of growth of nanoparticle in reactive plasma.
160. F. L. Chawarambwa, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fabrication of dye sensitized solar cells with up and down conversion nano-particles, 29th Annual Meeting of MRS-J, 2019.11.
161. R. Sato, M. Hiromatsu, K. Matsuo, T. Yoshida, R. Arita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Irradiation to Seeds of Lactuca Sativa on Histogram of Fresh Weight of Their Seedling, 29th Annual Meeting of MRS-J, 2019.11.
162. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate position dependence of characteristics of a-C:H films fabricated by Ar+CH4 plasma, The 4th Asian Applied Physics Conference, 2019.11.
163. R. Narishige, N. Miyahara, K. Kaneshima, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x films for Excitonic Transistors, The 4th Asian Applied Physics Conference, 2019.11.
164. K. Kaneshima, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate temperature on Crystal Quality of (ZnO)x(InN)1-x Films Fabricated by Sputter Epitaxy, The 4th Asian Applied Physics Conference, 2019.11.
165. Y. Nakamura, S. Muraoka, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Surface morphology of single-crystal ZnO films on sapphire substrates fabricated by sputter epitaxy *Yuta Nakamura, Soichiro Muraoka, Kunihiro K, The 4th Asian Applied Physics Conference, 2019.11.
166. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of amorphous ZnAlON films with tunable bandgap, The 4th Asian Applied Physics Conference, 2019.11.
167. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD , 41st International Symposium on Dry Process (DPS2019), 2019.11.
168. S. Muraoka, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki , Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature, 41st International Symposium on Dry Process (DPS2019), 2019.11.
169. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of High Nitriding Degree of SiN films by Multi-Hollow Discharge SiH4+N2 Plasma CVD, 41st International Symposium on Dry Process (DPS2019), 2019.11.
170. Y. Hao, H. Hara, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Pressure on Characteristics of a-Si:H Films Desosited using Multi-Hollow Discharge Plasma CVD, 3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019), 2019.11.
171. K. Tomita, S. Okunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Development of a Sensitive Electric Field Probe in Ar plasmas using Optically Trapped Fine Particles, 72nd Gaseous Electronics Conference, 2019.10.
172. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Discharge Power on Deposition Cutoff of Carbon Nanoparticles Synthesized by High Pressure Ar+CH4 Multi-hollow Discharge Plasma CVD, 72nd Gaseous Electronics Conference, 2019.10.
173. H. Hara, Y. Hao, K. Abe, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Spatial inhomogeneous distribution of high Si-H2 bond density in a-Si:H films deposited by MHPCVD, 72nd Gaseous Electronics Conference, 2019.10.
174. K. Koga, A. Yamamoto, K. Kamataki, N. Itagaki, M. Shiratani, Rate Limiting Factors of Low Pressure Plasma-catalytic CO2 Methanation Process, AVS 66th International Symposium & Exhibition, 2019.10.
175. K. Kamataki, R. Iwamoto, S. Okunaga, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Developing Prediction of Key Plasma Processing Parameter from Small Data of Experimental Results by Machine Learning Method, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
176. M. Shiratani, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, Ultra-sensitive Plasma Potential Measurements by using an Optically Trapped Fine Particle, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
177. K. Kamataki, R. Iwamoto, H. Tanaka, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Investigation of Spatiotemporal Structure of Fluctuation Related with Nanoparticle Growth in Amplitude-Modulated VHF Discharge Reactive Plasma, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
178. S. H. Hwang, R. Iwamoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, High Rate Deposition of Carbon Films Using High Pressure CH4 Plasma CVD, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
179. S. Nagaishi, Y. Sasaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster incorporation in SiN films, The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE2019), 2019.09.
180. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, M. Shiratani, Synthesis and deposition of a-C:H nanoparticles using reactive plasmas with a fast gas flow, The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
181. Y. Sasaki, S. Nagaishi, H. Hara, S. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani , Low Temperature Fabrication of Low Hydrogen Content SiN films by Multi Hollow Discharge SiH4+N2 Plasma CVD , The Korea-Japan Workshop on Dust Particles in Plasmas, 2019.08.
182. M. Shiratani, K. Kamataki, K. Koga, N. Itagaki , Advanced Methods of Thin Film Fabrication using Plasmas (Invited), 28th International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS28), 2019.08.
183. N. Itagaki, Inverse Stranski-Krastanov growth: a method for growth of single crystalline films beyond lattice-matching condition (Invited), Satellite Workshop of XXXIV ICPIG & ICRP-10 "New trends of plasma processes for thin films and related materials for the deep discussion on new trends of plasma processes", 2019.07.
184. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, V. Mildaziene, Influence of Seed Coat Color on Reactive Species in Plasma Irradiated Seeds of Radish Sprouts, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
185. S. Urakawa, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of wide bandgap (ZnO)x(AlN)1-x alloys: a new material system with tunable bandgap, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
186. R. Iwamoto, K. Kamataki, S. Muraoka, N. Miyahara, D. Yamashita, D. Ikeda, K. Koga, N. Itagaki, M. Shiratani, Prediction of Sputtering Conditions for Amorphous and Low Resistive ITO/In2O3 Films via Machine Learning, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
187. N. Miyahara, S. Urakawa, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Sputter Epitaxy of (ZnO)x(InN)1-x Films on Sapphire Substrates, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
188. K. Kamataki, S. Nagaishi, Y. Sasaki, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Low Temperature Fabrication of Low Hydrogen Content SiN films in a Multi-Hollow Discharge Plasma CVD, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
189. H. Hara, S. Nagaishi, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas velocity dependence of deposition rate and Si-H2 bond density of a-Si:H films deposited by multi-hollow discharge plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
190. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, J. S. Oh, T. Nakatani, Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
191. M. Shiratani, K. Tomita, H. Ohtomo, R. Iwamoto, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, Position fluctuation of a fine particle trapped optically in Ar plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
192. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Study on Relationship between Growth of Nanoparticles and Plasma Fluctuation due to Amplitude Modulated Discharge Voltage in Capacitively Coupled Reactive Plasma, XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
193. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki M. Shiratani, Growth Mechanism of Carbon Nanoparticles In Multi-Hollow Discharge Plasma Chemical Vapor Deposition (Invited), XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) & 10th International Conference on Reactive Plasmas (ICRP-10), 2019.07.
194. K. Koga, S. H. Hwang, T. Nakatani, J. S. Oh, K. Kamataki, N. Itagaki, M.Shiratani, Deposition of Carbon Nanoparticles Using Multi-Hollow Discharge Plasma CVD for Synthesis of Carbon Nanoparticle Composite Films, 46th International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2019), 2019.05.
195. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Control of Carbon Nanoparticles Synthesized by Using Ar + CH4 Multi-Hollow Discharge Plasma CVD Method, 第66回応用物理学会春季学術講演会, 2019.03.
196. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation Amount and Film Composition of SiN Films Fabricated by Multi-Hollow Discharge Plasma CVD Method, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
197. H. Hara, K. Tanaka, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of clusters and higher-order silanes (HOSs) on surface roughness and Si-H2 bond density in a-Si:H films deposited by SiH4 plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
198. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursor on FWHM into a-Si:H thin films deposited by plasma CVD, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
199. B. Zhang, F. L. chawarambwa, H. Seo, N. Itagaki, K. Koga, M. Shiratani, High transparency polymer counter electrode for bifacial dye sensitized solar cells, 6th Korea-Japan Joint Symposium on Advanced Solar Cells 2019, 2019.02.
200. M. Shiratani, K. Kamataki, N. Itagaki, K. Koga, Ambipolar charging due to plasma irradiation: from nanoparticles to proteins (Invited), 19th Workshop on Fine Particle Plasmas, 2018.12.
201. H. Ohtomo, T. Chimura, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on Spatial Distribution of Plasma Fluctuation Using Optically Trapped Fine Particle in Ar Plasma, 19th Workshop on Fine Particle Plasmas, 2018.12.
202. K. Kamataki, R. Zhou, H. Ohtomo, R. Iwamoto, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Growth of Nano-Particles in Reactive Plasma using Amplitude Modulated rf Discharge Voltage, 19th Workshop on Fine Particle Plasmas, 2018.12.
203. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of ZnO Based Compounds for Excitonic Devices, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
204. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition Rate and Cluster Incorporation Amount of SiN Films Fabricated by a Multi-Hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
205. K. Imoto, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization: effects of target-substrate distance, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
206. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size and Structure Control of Carbon Nano-particles Synthesized by Multi-hollow Discharge Plasma CVD Method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
207. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of cluster-eliminating filter and gas velocity on SiH2 bond formation in a-Si:H films deposited by MHDPCVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
208. K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of film deposition precursors on Raman intensity ITA/ITO of a-Si:H thin films fabricated by plasma CVD method, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
209. Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2, O2 gas composition ratio and humidity in plasma on plasma induced plant growth enhancement, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
210. N. Itagaki, K. Imoto, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy of compound semiconductors via inverse Stranski-Krastanov mode: A method of single crystalline film growth beyond lattice matchins condition, 28th Annual Meeting of MRS-Japan 2018, 2018.12.
211. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of DC Bias Voltage on Deposition of Carbon Nano Particles Synthesized by Ar + CH4 Multi-Hollow Discharge Plasma , The 3rd Asian Applied Physics Conference, 2018.12.
212. H. Hara, K. Tanaka, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Gas velocity dependence of Si-H2 bond density and surface roughness of a-Si:H films deposited by multi-hollow plasma CVD, The 3rd Asian Applied Physics Conference, 2018.12.
213. S. Nagaishi, Y. Sasaki, K. Tanaka, H. Hara, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M, Shiratani, Time evolution of amount of cluster incorporation into SiNx films and electron temperature of CVD Plasma, The 3rd Asian Applied Physics Conference, 2018.12.
214. K. Koga, Y. Wada, R. Sato, R. Shimada, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, T. Kawasaki , Spatial Profile of RONS Dose Supplied by a Scalable DBD Device, The 3rd Asian Applied Physics Conference, 2018.12.
215. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of Plasma Fluctuation Frequency on Growth of Nanopariticles in Reactive Plasmas, The 3rd Asian Applied Physics Conference, 2018.12.
216. R. Iwamoto, K. Kamataki, K. Tanaka, H. Hara, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani , Applying Multivariate Analysis to a-Si:H Deposition Plasma Process Data, The 3rd Asian Applied Physics Conference, 2018.12.
217. Relationship between plasma fluctuation, radicals and growth of nano-particle in reactive plasma.
218. An evaluation of interactions between fine particles using collision analysis in Ar plasma.
219. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Kinetics of Carbon Nano Particles Generated in an Ar/CH4 Multi-Hollow Discharge Plasmas, 第35回プラズマ・核融合学会年会, 2018.12.
220. The structure analysis of fluctuation of nanoparticles in reactive plasmas .
221. Effect of buffer layer on the growth of ZnO films on Si (111) substrates by sputtering via nitrogen mediated crystallization.
222. Sputter epitaxy of single crystalline ZnO films on 18%-lattice-mismatched sapphire substrates using multiple ZnON buffers.
223. Hetero Epitaxy of In-rich (ZnO)x(InN)1-x films by Flux Control Sputtering.
224. N. Itagki, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter Epitaxy via Inverse Stranski-Krastanov Growth Mode: A Method of Single Crystal Growth beyond Lattice Matching Condition, AVS Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2018), 2018.12.
225. N. Miyahara, D. Yamashita, D. Nakamura, K. Koga, M. Shiratani, N. Itagaki, Catalyst-free growth of c-axis aligned (ZnO)0.79(InN)0.21 nanorods by RF magnetron sputtering, 2018 MRS Fall Meeting & Exhibit, 2018.11.
226. S. Muraoka, J. Lyu, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effects of nitrogen impurity on sputtering growth of ZnO films on Si(111) substrates, 2018 MRS Fall Meeting & Exhibit, 2018.11.
227. K. Koga, Y. Wada, R. Sato, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of Amount of RONS Transport and Absorption of Seeds, 2018 MRS Fall Meeting & Exhibit, 2018.11.
228. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Motion analysis of inter-particle interactions of three fine particles in Ar plasma, 40th International Symposium on Dry Process (DPS2018), 2018.11.
229. K. Imoto, N. Miyahara, D.Yamashita, K.Kamataki, K.Koga, M.Shiratani, N.Itagaki, Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance, 40th International Symposium on Dry Process (DPS2018), 2018.11.
230. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Pressure on Size of Carbon Nanoparticles Prepared by Methane Plasma Process, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
231. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma
, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
232. J. Lyu, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Sputter epitaxy of single crystalline ZnO on 18%-lattice-mismatched sapphire using multi buffer layers fabricated via nitrogen mediated crystallization , 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
233. K. Tanaka, H. Hara, L. Shi, S. Nagaishi, S. Nakano, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Suppression of HOS molecules incorporation in a-Si:H films fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
234. L. Shi, K. Tanaka, H. Hara, S. Nakano, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Spatial distribution of SiH2/SiH bond density ratio in a-Si:H solar cells fabricated by plasma CVD, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
235. R. Zhou, K. Kamataki, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Spatial-Structure of Density Fluctuation of Nanoparticles in Amplitude Modulated Capactively Coupled Plasma, 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
236. N. Itagki, N. Miyahara, D. Yamashita, K. Kamataki, K. Koga, M. Shiratani, Sputter epitaxy of high quality (ZnO)x(InN)1-x: a new semiconducting material for excitonic devices (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
237. K. Kamataki, R. Zhou, H. Ohtomo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Impact of Amplitude Modulation of RF Discharge Voltage on the Spatial Profile of Nanoparticle Characteristics in Reactive Plasma (Invited), 2nd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2018), 2018.11.
238. K. Koga, S. H. Hwang, K. Kamataki, N. Itagaki, T. Nakatani, M. Shiratani, Synthesis of Hydrogenated Amorphous Carbon Nanoparticles using High-Pressure CH4+Ar Plasmas and Their Deposition, AVS 65th International Symposium & Exhibition, 2018.10.
239. Sputter Epitaxy of In-rich (ZnO)x(InN)1-x Films Directly on Sapphire Substrates.
240. Study on interaction fluctuation by collision analysis of two fine particles in plasmas.
241. Effects of deposition precursors on Si network orderliness.
242. Seed coat function against atmospheric pressure dielectric barrier discharge plasma irradiation.
243. Influence of gas flow rate on deposition of carbon nanoparticles produced by CH4+Ar multi-hollow discharge plasma onto substrates.
244. K. Koga, K. Tanaka, H. Hara, S. Nagaishi, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, Effects of cluster deposition on spatial profile of Si-Hx bond density in a-Si:H films, 2018 International Conference on Solid State Devices and Materials (SSDM2018), 2018.09.
245. S. H. Hwang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of CH4 Concentration on Size of Carbon Nano-Particles Formed in Multi-hollow Discharge Plasma, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
246. S. Nakano, K. Tanaka, H. Hara, L. Shi, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Improvement of Si network order of a-Si:H thin films by suppressing incorporation of HOS molecules, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
247. N. Miyahara, M. Shiratani, N. Itagaki, Photoluminescence of (ZnO)0.92(InN)0.08 films -Fabrication templature dependence-, 6th UK-Japan Engineering Education League Workshop 2018, 2018.09.
248. H. Ohtomo, R. Zhou, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Interactions of Two Fine Particles in Ar Plasma, JP-KO dust workshop 2018, 2018.07.
249. M. Shiratani, R. Zhou, H. Ohtomo, N. Itagaki, K. Koga, Density modulation of nanoparticles in amplitude modulated discharge plasmas, 24th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG 2018), 2018.07.
250. N. Miyahara, L. Shi, K. Iwasaki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room Temperature Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated by RF magnetron sputtering, 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
251. N. Itagaki, M. Shiratani, Inverse Stranski-Krastanov Growth of Single Crystalline Films: A New Mode of Heteroepitaxy for Large Lattice Mismatched System (Invited), 10th International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC 2018), 2018.07.
252. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of gas velocity dependence of Si-H2 bond density at P/I interface of a-Si:H layered films deposited by multi-hollow discharge plasma CVD., Workshop "Plasma surface interaction for technological applications" , 2018.06.
253. H. Hara, K. Tanaka, S. Nagaishi, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 28th Symposium on Plasma Physics and Technology (SPPT), 2018.06.
254. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, High energy leverage method on growth enhancement of bio-mass plants using plasma seed treatment, 7th International Conference on Plasma Medicine (ICPM-7), 2018.06.
255. K. Koga, K. Kamataki, N. Itagaki, M. Shiratani, A deep insight of plasma-nanoparticle interaction, 19th International Congress on Plasma Physics, 2018.06.
256. Photoluminescence of (ZnO)0.73(InN)0.27 fabricated by sputter epitaxy.
257. Deposition of a-C:H films using H-assisted plasma CVD method together with tailored voltage waveforms.
258. Electron spin resonance spectroscopy of radish sprout seeds irradiated using atmospheric air dielectric barrier discharge plasmas.
259. A New Approach to Sputter Epitaxy for Growth of Thin Films with Atomically-Flat Surface on Large Lattice Mismatched Substrates.
260. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Number Density of Seeds on Plant Growth Enhancement by Atmospheric Air Plasma Irradiation, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
261. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of RONS Dose on Plasma Induced Growth Enhancement of Radish Sprout, 2nd International Workshop On Plasma Agriculture (IWOPA2), 2018.03.
262. K. Yamaki, T. Fang, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, A tailored voltage waveform plasma CVD method for carbon film deposition, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
263. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Coupling of Interaction Fluctuation between Reactive Plasmas and Nanoparticles, 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
264. M. Shiratani, H. Seo, N. Itagaki, K. Koga, IoT-oriented solar cells fabricated using plasma-based nanotechnology (Invited), 10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma Nanotechnology and Science (ISPlasma2018/IC-PLANTS2018), 2018.03.
265. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Thin film deposition using low temperature plasmas: past, present, and future (Plenary), 4th International Workshop on Advanced Plasma Technology and Applications (The 4th IWAPTA workshop), 2018.02.
266. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, K. Takenaka, Y. Setsuhara, Plasma CVD of a-C:H films as protective layers for solar cells (Invited), 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
267. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Plasma Enhanced Carbon Recycling for Large-Scale Introduction of Solar Cells to Energy Supply Chain, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
268. H. Seo, D. Sakamoto, B. Zhang, N. Itagaki, K. Koga, M. Shiratani, Strategy for the commercialization of dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
269. B. Zhang, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Effect of additive on catalytic enhancement of polymer counter electrode in dye-sensitized solar cells, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
270. D. Sakamoto, B. Zhang, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on photovoltaic performance of dye-sensitized solar cells by applying up-conversion Y2O3:Er3 + nanoparticles, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
271. K. Tanaka, H. Hara, S. Toko, T. Kojima, S. Nagaishi, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4 gas flow rate dependence of Si-H2 bond density at P/I interface in aSi:H solar cells fabricated by plasma CVD, 5th Korea-Japan Joint Symposium on Advanced Solar Cells 2018, 2nd International Symposium on Energy Research and Application, 2018.02.
272. N. Itagaki, Excitonic devices for on-chip optical interconnects, Joint workshop btw SKKU and Kyushu University Emerging materials and devices, 2018.01.
273. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, A new insight into nanoparticle-plasma interactions (Invited), JP-KO dust workshop, 2017.12.
274. H. Ohtomo, K. Mori, R. Zhou, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Analysis of Inter-particle Fluctuation in Capacitively Coupled Low Pressure Ar Plasma Using Optical Tweezers, 18th Workshop on Fine Particle Plasmas, 2017.12.
275. T. Kojima, K. Tanaka, S. Nagaishi, H. Hara, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cloud of a-Si:H Clusters as Selective Filter in SiH4 Multi-Hollow Discharge Plasma, 18th Workshop on Fine Particle Plasmas, 2017.12.
276. K. Mori, R. Zhou, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cross-spectrum Analysis of Interaction Fluctuation between Plasma and Nanoparticles in Capacitively-Coupled RF Discharge Reactive Plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
277. K. Koga, K. Mori, R. Zhou, H. Seo, N. Itagaki, M. Shiratani, Evaluation of coupling among interaction fluctuations in nanoparticle growth in reactive plasmas, 18th Workshop on Fine Particle Plasmas, 2017.12.
278. M. Shiratani, K. Koga, Fine Particle Plasma and Plasma Process (Invited), 18th Workshop on Fine Particle Plasmas, 2017.12.
279. N. Itagaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Fabrication of High-Mobility Nanocrystal-Free a-In2O3:Sn Films by Magnetron Sputtering with Impurity-Mediated Amorphization Method, 27th annual meeting of MRS-J, 2017.12.
280. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Advanced plasma process for next-generation photovoltaics, 27th annual meeting of MRS-J, 2017.12.
281. K. Koga, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Deposition of High Quality Silicon Thin Films Utilizing Nanoparticles Trapped in Plasmas, 27th annual meeting of MRS-J, 2017.12.
282. K. Koga, Y. Wada, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Number Density of Seeds on Plasma Induced Plant Growth Enhancement, 27th annual meeting of MRS-J, 2017.12.
283. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation Analysis of An Optical Trapped Fine Particle in Ar Plasma, 27th annual meeting of MRS-J, 2017.12.
284. Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gap between Electrodes and Seeds on Plasma Induced Plant Growth Enhancement, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
285. L. Shi, N. Miyahara, D. Yamashita, H. Seo, J. Lyu, K. Koga, M. Shiratani, N. Itagaki, Effects of Gas Pressure on Crystal Quality of ZION Films Fabricated by RF Magnetron Sputtering, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
286. K. Imoto, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering Growth of SiC Films on Si(111) Substrates by using Impurity Mediated Crystallization Method, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
287. R. Zhou, K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Correlation analysis between high energy electrons and nanoparticles in AM CCP, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
288. K. Koga, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Flow Velocity on Plant Growth of Radish Sprout, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
289. M. Shiratani, Y. Wada, R. Sato, D. Yamashita, H. Seo, N. Itagaki, K. Koga, Growth Enhancement of Sorghum by Plasma Irradiation to The Seeds, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
290. N. Miyahara, K. Iwasaki, L. Shi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from (ZnO)0.79(InN)0.21 films fabricated on sapphire substrates, The 2nd Asian Applied Physics Conference (Asian-APC), 2017.12.
291. Catalytic enhancement of polymer counter electrode in dye-sensitized solar cells.
292. K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Development of a fine particle transport analyzer for processing plasmas, The 39th International Symposium on Dry Process (DPS 2017), 2017.11.
293. H. Ohtomo, K. Mori, Z. Ren, D. Yamashita H. Seo, N. Itagaki, K. Koga, M. Shiratani, An Analysis on a Kinetic Motion of Optical Trapped Single Fine Particle in Ar Plasma, The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
294. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Nanoparticle Composite Films: Fabrication and Functions (Invited), The 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) , 2017.11.
295. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of gas flow rate ratio on structure of a-C:H films deposited using Ar + H2+ C7H8 plasma CVD, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
296. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Novel Fabrication Methods of Thin Films using Low Temperature Plasmas (Invited), Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
297. N. Miyahara, K. Iwasaki, L. Shi, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Photoluminescence from epitaxial (ZnO)x(InN)1-x films on sapphire substrates fabricated by RF magnetron sputtering, Taiwan Association for Coating and Thin Film Technology (TACT) 2017, 2017.10.
298. M. Shiratani, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga , Local Fluctuations of Plasma Detected with an Optically Trapped Fine Particle , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
299. T. Fang, K. Yamaki, K .Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effect of Gas flow rate ratio on the structure and properties of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD , 1st Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2017), 2017.09.
300. K. Tanaka, T. Kojima, S. Toko, D. Yamashita, Hy. Seo, N. Itagaki, K.Koga, M. Shiratani, Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells fabricated by plasma CVD (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
301. B. Zhang, D. Sakamoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer counter electrodes of dye-sensitized solar cells by isooctylphenoxy-polyethoxyethanol addition, 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
302. M. Shiratani, H. Seo, N. Itagaki, K. Koga, Spatial Structure of Interactions between Nanoparticles and Low Pressure Plasmas (Invited), 11th Asian-European International Conference on Plasma Surface Engineering (AEPSE2017) , 2017.09.
303. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, M. Shiratani , A Theoretical Model for Time Evolution of Radical Deposition Rate in Silane Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
304. M. Shiratani, T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, Effects of bias voltage on the surface morphology of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
305. N. Miyahara, K. Matsushima, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of surface flat (ZnO)x(InN)1-x films on 3%-lattice-mismatched a-sapphire substrates, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
306. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Next Generation Photovoltaics Based on Si Nano-particles Fabricated by Advanced Plasma Process, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
307. H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Optical Trapping Process of Single Fine Particle in Ar Plasma, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
308. K. Mori, H. Ohtomo, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatiotemporal structure of fluctuation of interactions between plasmas and nanoparticles in capacitively-coupled rf discharge reactive plasmas, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
309. Y. Oide, T. Sarinont, R. Katayama, Y. Wada, T. Kawasaki, D. Yamashita, H. Seo, N. Itagaki, P. Attri, E. H. Choi, A. Tanaka, K. Koga, M. Shiratani, Two-dimensional profile of RONS dose irradiated with a scalable DBD device, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
310. N. Itagaki, T. Takasaki, H. Wang, D. Yamashita, H. Seo, K. Koga, M. Shiratani , Fabrication of High-Mobility Amorphous In2O3:Sn Films by RF Magnetron Sputtering with Impurity-Mediated Amorphization Method (Keynote), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
311. K. Tanaka, S. Toko, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Film thickness dependence of structure of a-Si:H thin films measured by Raman spectroscopy, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
312. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Single Crystalline ZnO-Based Semiconductors on Lattice Mismatched Substrates (Invited), International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
313. H. Seo, D. Sakamoto, H. Chou, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement of Polymer Counter Electrode of Photochemical Photovoltaics based on Nano-particle Application, International Union of Materials Research Societies - The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017), 2017.08.
314. I. Suhariadi, N. Itagaki, M. Shiratani, Morphology Evolution of ZnO Thin Films Deposited by Nitorogen Mediated Cristallization Method, The 2nd International Joint Conference on Advanced Engineering and Technology (IJCAET 2017), 2017.08.
315. N. Itagaki, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Inverse Stranski-Krastanov Growth of Pit-Free Single Crystalline ZnO Films on Lattice Mismatched Substrates, 29th International Conference on Defects in Semiconductors (ICDS2017), 2017.08.
316. M. Shiratani, T. Takasaki, H. Wang, K. Matsushima, H. Seo, K. Koga, K. Takeda, M. Hori, and N. Itagaki , Measurements of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
317. S. Toko, K. Tanaka, K. Keya, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Suppression of Si-H2 bond formation at P/I interface in a-Si:H solar cells deposited by multi-hollow discharge plasma CVD , International Conference on Phenomena in Ionized Gases (ICPIG 2017), 2017.07.
318. K. Koga, H. Seo, A. Tanaka, N. Itagaki, M. Shiratani, Synthesis of Nanoparticles Using Low Temperature Plasmas and Its Application to Solar Cells and Tracers in Living Body (Invited), 231st Meeting of Electrochemical Society (ECS), 2017.05.
319. K. Koga, K. Mori, H. Seo, N. Itagaki, M. Shiratani, Corrational study of fluctuation of coupling between plasmas and nanoparticles, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
320. S. Tanida, S. Toko, R. Katayama, K. Koga, M. Shiratani, Time evolution of CH4 yield in methanation of CO2 using helicon discharge plasma, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
321. K. Koga, P. Attri, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Comparision of Gamma irradation and scalable DBD on the declorization of Dyes, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
322. K. Koga, A. Tanaka, M. Hirata, T. Amano, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, Long-term evaluation of In nanoparticle transport in living body, 9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma Nanotechnology and Science (ISPlasma2017/IC-PLANTS2017), 2017.03.
323. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2/Si-H bond ratio at P/I interface, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
324. S. Toko, S. Tanida, K. Koga, M. Shiratani, Theoretical Consideration on Methane Production Using Plasma on Mars, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
325. D. Sakamoto, H. Chou, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Si Nanoparticles Fabricated by Multi-hollow Discharge Plasma Chemical Vapor Deposition and their Photovoltaic Application, 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), 2017.01.
326. K. Koga, K. Mori, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time evolution of cross-correlation between two fluctuations of couplings between plasmas and nanoparticles in amplitude modulated discharges , 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
327. K. Koga, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, Dependence of amount of plasma activated water on growth enhancement of radish sprout, 26th annual meeting of MRS-J, 2016.12.
328. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara , DC bias voltage dependence of dust transport in a compact dust trajectory analyzer, 17th Workshop on Fine Particle Plasmas and JAPAN-KOREA Workshop on Dust Particles 2016, 2016.12.
329. N. Itagaki, K. Matsushima, D. Ymashita, H. Seo, K. Koga, M. Shiratani, Sputtering growth of (ZnO)x(InN)1-x semiconductor: a ZnO-based compound with bandgap tunability over the entire visible spectrum (Invited), 26th annual meeting of MRS-J, 2016.12.
330. H. Ohtomo, M. Soejima, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Characteristic length of position fluctuation of a single fine particle optically trapped in Ar plasma, 26th annual meeting of MRS-J, 2016.12.
331. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of internal resistance by the quantity of Si nanoparticles on polymer counter electrode in dye-sensitized solar cells, 26th annual meeting of MRS-J, 2016.12.
332. T. Fang, K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of bias voltage on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 26th annual meeting of MRS-J, 2016.12.
333. R. Katayama, T. Fang, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, Effects of electrostatic field direction on dust accumulation to mirror in a plasma reactor, 26th annual meeting of MRS-J, 2016.12.
334. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of modulation frequency on interaction fluctuation between plasma and nanoparticles, 26th annual meeting of MRS-J, 2016.12.
335. K. Keya, K. Tanaka, T. Kojima, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of P-layer of PIN a-Si:H solar cells on Si-H2 bond formation at P/I interface, 26th annual meeting of MRS-J, 2016.12.
336. N. Miyahara, T. Ide, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Effects of Sputtering Gas Composition on Properties of ZnO Prepared by Nitrogen Mediated Crystallization Method, 26th annual meeting of MRS-J, 2016.12.
337. T. Takasaki, H. Wang, N. Miyahara, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, High-mobility transparent amorphous In2O3:Ge films fabricated by impurity mediated amorphization method, 26th annual meeting of MRS-J, 2016.12.
338. M. Soejima, H. Ohtomo, K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Interaction potential fluctuation between binary collisions of fine particles suspended in low pressure Ar rf plasmas, 26th annual meeting of MRS-J, 2016.12.
339. S. Tanami, Y. Oide, D. Sakamoto, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Properties of Au-induced layer-exchange crystalline Ge formed by sputtering deposition, 26th annual meeting of MRS-J, 2016.12.
340. K. Tanaka, T. Kojima, K. Keya, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Spatial distribution of Si-H2 bond density in a-Si:H film evaluated by microscopic FTIR, 26th annual meeting of MRS-J, 2016.12.
341. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Time evolution of electron temperature and amount of cluster incorporation into films in SiH4 multi-hollow plasma CVD, 26th annual meeting of MRS-J, 2016.12.
342. M. Shiratani, X. Dong, H. Seo, N. Itagaki, K. Koga, Plasma anisotropic CVD of carbon films from toluene (Invited), 6th International Conference on Advanced Plasma Technologies (ICAPT-6), 2016.12.
343. H. Seo, D. Sakamoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic characteristics and photovoltaic application of polymer nano-composite, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
344. K. Koga, T. Amano, T. Sarinont, R. Katayama, Y. Wada, H. Seo, N. Itagaki, M. Shiratani, A. Tanaka, Y. Nakatsu, T. Kondo, Comparative study on death of cells irradiated by non-thermal plasma, X-ray, and UV, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
345. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of pulse discharge on cluster transport in downstream region of multi-hollow discharge CVD plasma, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
346. D. Sakamoto, H. Chou, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Enhancement of fill factor (FF) of dye-sensitized solar cell by means of counter polymer electrode containing Si nanoparticles, The 1st Asian Applied Physics Conference (Asian-APC), 2016.12.
347. Study on suppression of dust deposition on mirror using a compact dust trajectory analyzer .
348. K. Matsushima, K. Iwasaki, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Blue and Green Photoluminescence of (ZnO)0.92(InN)0.08, 2016 MRS Fall Meeting & Exhibit , 2016.12.
349. K. Iwasaki, T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growth of Single Crystalline ZnO Films on Sapphire Substrates, 2016 MRS Fall Meeting & Exhibit , 2016.12.
350. Temporal development of two dimensional structure of fluctuation of interaction between plasmas and nanoparticles.
351. D. Sakamoto, Y. Oide, S. Tanami, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of particle size on catalytic characteristics of polymer counter electrode containing Si nanoparticles in dye-sensitized solar cells, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
352. T. Takasaki, H. Seo, N. Itagaki, K. Koga, and M. Shiratani , Effect of photocatalyst TiO2 on the long-term stability of dye-sensitized solar cells , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
353. D. Sakamoto, H. Seo, S. Tanami, Y. Oide, N. Itagaki, K. Koga, M. Shiratani, Low cost dye-sensitized solar cells based on polymer composite catalyst, 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
354. T. Takasaki, W. Han, K. Iwasaki, K. Matsushima,D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Room temperature fabrication of high-mobility amorphous In2O3:Sn films via nitrogen-mediated amorphization method , 26th International Photovoltaic Science and Engineering Conference (PVSEC-26), 2016.10.
355. K. Koga, R. Katayama, T. Sarinont, H. Seo, N. Itagaki, P. Attri, E. L. Quiros, .A. Tanaka, M. Shiratani, Comparative study of non-thermal atmospheric pressure discharge plasmas for life science applications, 69th Annual Gaseous Electronics Conference (GEC2016), 2016.10.
356. H. Seo, D. Sakamoto, C. V. V. M. Gopi, M. Kim, H. J. Kim, N. Itagaki, K. Koga, M. Shiratani, Catalytic enhancement on polymer composite counter electrode for low cost quantum dot-sensitized solar cells, The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016) , 2016.09.
357. K. Koga, T. Amano, Y. Nakatsu, H. Seo, N. Itagaki, A. Tanaka, T. Kondo, M. Shiratani, Time development of response of cells irradiated by non-thermal atmospheric air plasma, 6th International Conference on Plasma Medicine (ICPM6), 2016.09.
358. K. Matsushima, N. Itagaki, M. Shiratani, Relationship between Electric Property and Surface Flatness of (ZnO)x(InN)1-X Films on ZnO Templates, 16th International Conference On Nanotechnology (IEEE NANO 2016), 2016.08.
359. S. Tanami, Y. Oide, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on Au-induced layer-exchange crystalline Ge formation using sputtering deposition, 20th International Vacuum Congress (IVC-20), 2016.08.
360. H. Seo, M. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani , Catalytic Activation of Polymer Composite Catalyst for Photochemical Solar Cells, The 67th Annual Meeting of the International Society of Electrochemistry, 2016.08.
361. H. Seo, M. K. Son, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Surface modification of polymeric catalyst for the catalytic enhancement of dye and quantum dot sensitized solar cells, 20th International Vacuum Congress (IVC-20), 2016.08.
362. M. Shiratani, S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki and K. Koga , Time evolution of radical deposition rate and cluster amount (Invited), 20th International Vacuum Congress (IVC-20), 2016.08.
363. K. Mori, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani and S. Inagaki, Envelope analysis and cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, Workshop on Plasma surface interaction for technological applications, 2016.07.
364. N. Itagaki and M. Shiratani, Plasma surface interactions of single crystal ZnO during sputtering in Ar+O2+N2, Workshop on Plasma surface interaction for technological applications, 2016.07.
365. T. Kojima, S. Toko, K. Keya, K. Tanaka, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Effects of clusters transport on hydrogenated amorphous silicon solar cells, Workshop on Plasma surface interaction for technological applications, 2016.07.
366. K. Yamaki, K. Koga, D. Yamashita, H. Seo, N. Itagaki and M. Shiratani, Effects of hydrogen content on a-C:H films deposited by Ar+H2+C7H8 plasma CVD, Workshop on Plasma surface interaction for technological applications, 2016.07.
367. K. Yamaki. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Effects of hydrogen content on mass density of a-C:H films deposited using Ar + H2+ C7H8 Plasma CVD, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
368. T. Kojima, S. Toko, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of discharge power on transport characteristics of clusters in the downstream region of multi-hollow SiH4 discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
369. K. Mori, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Modulation level dependence of fluctuation of interactions between plasmas and nanoparticles grown in amplitude modulated discharges, 23rd Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) , 2016.07.
370. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, DC biased parallel plates for reduction of dust accumulation on first mirror, International Conference on Plasma Surface Interactions in Controlled Fusion Devices (22nd PSI) , 2016.05.
371. M. Shiratani, R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, A compact drift tube: a novel in-situ dust measurement method, 8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science (ISPlasma2016/IC-PLANTS2016), 2016.03.
372. M. Soejima, K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shirtani, Non-thermal atmospheric air plasma irradiation to plant seeds for plasma agricultural applications, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
373. M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Fluctuation in interaction potential between binary colliding fine particles suspended in plasmas, 5th International Conference on Advanced Plasma Technologies (ICAPT-5), 2016.03.
374. N. Itagaki, Inverse SK mode of epitaxial film growth and its application to solar cells (Invited), The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
375. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Deposition of high-mobility amorphous In2O3:Sn films by utilizing nitrogen mediated amorphization method, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
376. S. Tanami, S. Hashimoto, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of annealing temperature on grain size of Au catalyst for layer-exchange Ge crystalline formation, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
377. K. Keya, Y. Torigoe, S. Toko, T. Kojima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Evaluation of SiH and SiH2 bonds in PIN a-Si:H solar cells using Raman spectroscopy, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
378. H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hybrid counter electrode of photochemical solar cells for iodine and polysulfide redox systems (Invited), The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
379. S. Toko, Y. Torigoe, K. Keya, T. Kojima, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Inverse Correlation between Radical Deposition Rate and Cluster Amount in Gas Phase in SiH4 Discharge Plasmas for Si Thin Film Solar Cell Fabrication, The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, 2016.02.
380. H. Seo, M.-K. Son, N. Itagaki, K. Koga, M. Shiratani, Stable and low cost polymeric catalyst for dye-sensitized solar cells, EMN Photovoltaics Meeting, 2016.01.
381. Time evolution of cluster amount in downstream of SiH4 multi-hollow discharge plasmas.
382. Dust collection experiments using a compact drift tube in a divertor simulator.
383. Effects of ion irradiation on a-C:H films deposited by Ar+H2+C7H8 plasma CVD.
384. Sputter deposition of high quality (ZnO)x(InN)1-X films by controlling O2/N2 partial pressure.
385. Control of Ge nanoparticles Size Embedded in SiO2 by Plasma Sputtering.
386. Envelope analysis of laser light scattering intensity from nanoparticles formed in reactive plasmas : Modulation frequency dependence.
387. K. Koga, T. Ito, T. Kobayashi, M. Shiratani, S. Inagaki, Analysis of Spatiotemporal Development of Interaction Fluctuation between Nanoparticles and Radicals in Reactive Plasmas, 9th APSPT/28th SPSM, 2015.12.
388. K. Matsushima, T. Ide, D. Yamashita, K. Koga, M. Shiratani, N. Itagaki, Effects of Substrate Temperature on Film Growth Mode of ZnO-InN Pseudo Binary Alloys, 9th APSPT/28th SPSM, 2015.12.
389. R. Katayama, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, S. Masuzaki, N. Ashikawa, M. Tokitani, K. Nishimura, A. Sagara, and the LHD Experimental Group, DC biased compact drift tube for measuring dust transport, 第16回微粒子プラズマ研究会, 2015.12.
390. Inter-grain Potential between Two Dust Grains in Ar Plasma.
391. Contribution of Surface Reactions to Si-H2 Bond Formation in a-Si:H Thin Films.
392. Effects of Substrate Surface Texture on Si-H2 Bond Configuration in a-Si:H Films.
393. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dotsensitized Solar Cells Based on Improved Charge Transfer, 第25回日本MRS年次大会, 2015.12.
394. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Potentials of Nano-particles Based on Advanced Plasma Processes (Invited), 第25回日本MRS年次大会, 2015.12.
395. Contribution of Clusters and SiH3 Radicals to Si-H2 Bond Formation in a-Si:H Films.
396. K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Chemical Ordering in ZnO-InN Pseudo Binary Alloys with Tunable Bandgap, 2015 MRS Fall Meeting, 2015.12.
397. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Catalytic Activation in Polymeric Counter Electrode of Dye-sensitized Solar Cells by Surface Modification, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
398. H. Seo, S.-H. Nam, J.-H. Boo, N. Itagaki, K. Koga, M. Shiratani, Enhancement on Photocatalytic and Photovoltaic Properties of Dye-sensitized Solar Cells by S doped TiO2, 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), 2015.11.
399. K. Koga, X. Dong, K. Yamaki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka ,Y. Setsuhara, Effects of Ion Energy on Chemical Bond Configuration in a-C:H Deposited using Ar + H2+ C7H8 Plasma CVD, 37th International Symposium on Dry Process (DPS2015), 2015.11.
400. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, M. Shiratani, Improving of Harvest Period and Crop Yield of Arabidopsis Thaliana L. using Nonthermal Atmospheric Air Plasma, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
401. K. Koga, T. Amano, T. Sarinont, T. Kawasaki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Y. Nakatsu, A. Tanaka, Two Dimentional Visualization of Oxidation Effect of Scalable DBD Plasma Irradiation using KI-starch Solution, American Vacuum Society 62nd International Symposium and Exhibition (AVS), 2015.10.
402. R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, M. Tokitani, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Deposition rate and etching rate due to neutral radicals and dust particles measured using QCMs together with a dust eliminating filter, ICRP9/GEC68/SPP33, 2015.10.
403. Y. Torigoe, K. Keya, S. Toko, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of electrode structure on characteristics of multi-hollow discharges, ICRP9/GEC68/SPP33, 2015.10.
404. S. Hashimoto, S. Tanami, H. Seo, G. Uchida, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of N2 dilution on fabrication of Ge nanoparticles by rf sputtering, ICRP9/GEC68/SPP33, 2015.10.
405. D. Yamashita, M. Soejima, T. Ito, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Laser trapped single fine particle as a probe of plasma parameters, ICRP9/GEC68/SPP33, 2015.10.
406. K. Keya, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of PIN hydrogenated amorphous silicon solar cells, ICRP9/GEC68/SPP33, 2015.10.
407. K. Koga, T. Sarinont, T. Amano, H. Seo, N. Itagaki, Y. Nakatsu, A. Tanaka, M. Shiratani, Simple Evaluation Method of Atmospheric Plasma Irradiation Dose using pH of Water, ICRP9/GEC68/SPP33, 2015.10.
408. T. Amano, K. Koga, T. Sarinont, H. Seo, N. Itagaki, M. Shiratani, S. Kitazaki, M. Hirata, Y. Nakatsu, A. Tanaka, Synthesis of indium-containing nanoparticles using plasmas in water to study their effects on living body, ICRP9/GEC68/SPP33, 2015.10.
409. M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Attraction during binary collision of fine particles in Ar plasma, ICRP9/GEC68/SPP33, 2015.10.
410. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Cluster Incorporation into A-Si:H Films Deposited Using H 2 +SiH 4 Discharge Plasmas, ICRP9/GEC68/SPP33, 2015.10.
411. T. Ito, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, T. Kobayashi, S. Inagaki, Cross correlation analysis of plasma perturbation in amplitude modulated reactive dusty plasmas, ICRP9/GEC68/SPP33, 2015.10.
412. T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization, ICRP9/GEC68/SPP33, 2015.10.
413. K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films, ICRP9/GEC68/SPP33, 2015.10.
414. T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films, ICRP9/GEC68/SPP33, 2015.10.
415. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Raman Spectroscopy of a -C:H Films Deposited Using Ar + H 2 + C 7 H 8 Plasma CVD, ICRP9/GEC68/SPP33, 2015.10.
416. S. Tanami, D. Ichida, D. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of Au-induced crystalline Ge film formation using sputtering deposition, ICRP9/GEC68/SPP33, 2015.10.
417. H. Seo, M.-K. Son, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Novel polymer counter electrode of dye-sensitized solar cells, 66th Annual Meeting of the International Society of Electrochemistry, 2015.10.
418. K. Koga, M. Soejima, K. Tomita, T. Ito, H. Seo, N. Itagaki, M. Shiratani, In-situ laser Raman spectroscopy of an optically trapped fine particle, 17th International Symposium on Laser-Aided Plasma Diagnostics (LAPD17) , 2015.09.
419. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration In Extremely Thin A-Si:H Fimls Deposited By Multi-Hollow Discharge Plasma CVD Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
420. T. Takasaki, T. Ide, K. Matsushima, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition Of Amorphous In2O3:Sn Films With High Mobility By Utilizing Nitrogen Mediated Amorphization Method, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
421. N. Itagaki, Single Crystal Growth On Large Lattice-Mismatched Substrates By Using Buffer Layers With Fine Grains (Invited), The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
422. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Catalytic Enhancement On Polymeric Counter Electrode Of Si Quantum Dot-sensitized Solar Cells, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
423. H. Seo, S. Hashimoto, S. Tanami, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement Of Organic/inorganic Hybrid Solar Cell By The Surface Modification At Si And Polymer Junction, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
424. M. Shiratani, T. Ide, K. Matsushima, T. Takasaki, H. Seo, K. Koga, N. Itagaki, Requirements Of Buffer Layer For Heteroepitaxy Of ZnO On Lattice-Mismatched Substrate By Sputtering, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
425. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Gas Flow Rate Ratio Dependence Of Properties Of Carbon Films Deposited Using Ar + H2+ C7H8 Plasma CVD, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015), 2015.09.
426. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Cluster Eliminating Filter on Extremely Thin a-Si:H Films Deposited by SiH4 Multi-Hollow Discharges, 第76回応用物理学会秋季学術講演会, 2015.09.
427. M. Shiratani, T. Ito, K. Koga, M. Soejima, D. Yamashita, H. Seo, N. Itagaki, T. Kobayashi, S. Inagaki, Bispectrum analysis of fluctuation of nanoparticle amount in amplitude modulated capacitively-coupled discharge plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
428. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Contribution of Clusters to SiH2 Bonds in a-Si:H Films, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
429. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani , Linear and Nonlinear Correlation between Deposition Rate and SiH Emission Intensity in SiH4 Multi-hollow Discharge Plasmas, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
430. M. Shiratani, M. Soejima, T. Ito, D. Yamashita, N. Itagaki, H. Seo, K. Koga, Position fluctuation of a fine particle optically trapped in Ar plasma, The XXXII edition of the International Conference on Phenomena in Ionized Gases (ICPIG2015), 2015.07.
431. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Third Generation Photovoltaics (Invited), The 1st Asian Workshop on Advanced Plasma Technology and Application, 2015.07.
432. M. Shiratani, K. Koga, H. Seo, N. Itagaki, Second and Third Generation Photovoltaics (Invited), 2015 International Forum on Functional Materials (IFFM2015), 2015.06.
433. N. Itagaki, T. Ide, K. Matsushima, T. Takasaki, D. Yamashita, H. Seo, K. Koga, Masaharu Shiratani, Sputtering growth of single-crystalline ZnO films on c-sapphire substrates by using impurity-mediated crystallization method: Effects of surface morphology of buffer layers, 2015 E-MRS Spring Meeting and Exhibit, 2015.05.
434. M. Shiratani, X. Dong, K. Koga, N. Itagaki, H. Seo, G. Uchida, Site-selective Coating of Carbon Protective Layer on Sub-micron Trenches Using Plasma CVD, 42nd International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2015), 2015.04.
435. H. Seo, S. Hashimoto, N. Itagaki, K. Koga, M. Shiratani, Enhancement on the Photovoltaic Properties of Dye-Sensitized Solar Cells with Catalytically Activated Polymeric Counter Electrode, 2015 MRS Spring Meeting, 2015.04.
436. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Crystalline Ge film deposition between Au catalyst film and quartz glass substrate using magnetron sputtering, 2015 MRS Spring Meeting, 2015.04.
437. N. Itagaki, T. Takasaki, T. Nakanishi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, High-mobility amorphous In2O3:Sn films prepared by sputter deposition with nitrogen-mediated amorphization method, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
438. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Effects of Gas Flow Rate on Deposition Rate and Amount of Si Clusters Incorporated into a-Si:H Films, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
439. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of ion irradiation on mass density of carbon films deposited by Hassisted plasma CVD, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
440. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/8th International Conference on Plasma Nanotechnology and Science (ISPlasma2015/IC-PLANTS2015), 2015.03.
441. N. Itagaki, ZnO-based semiconductors with tunable band gap for 3rd generation solar sells (Invited), International Society for Optics and Photonics, Photonics West 2015, 2015.02.
442. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic application of Si nano-particles fabricated by underwater discharge (Invited), The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, 2015.01.
443. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Realization of highly stable a‐Si:H film by using cluster eliminating filter, The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
444. K. Koga, T. Ito, H. Seo, N. Itagaki, and M. Shiratani, Temporal development of nonlinear coupling between radicals and nanoparticles in reactive plasmas (Invited), The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials, 2015.01.
445. Naho Itagaki, ZnO-based semiconductors with tunable band gap for solar cell application (Invited), 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
446. K. Koga, S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, M. Shiratani, Cluster suppressed deposition of a-Si:H films by employing non-linear phenomena in reactive plasmas (Invited), 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
447. Y. Torigoe, S. Toko, K. Keya, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of hydrogen dilution on stability of a-Si:H films in silane hydrogen mixture multi-hollow discharge plasmas, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
448. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in liquid for solar cell applications, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
449. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of strained-induced ZnInON-based multi-quantum well solar cells by RF magnetron sputtering, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
450. S. Toko, Y. Torigoe, K. Keya, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Gas Residence Time Effects of Cluster Incorporation into a-Si:H Films, 2015 Japan-Korea Joint Symposium on Advanced Solar Cells, 2015.01.
451. Strategy for Suppressing Fluctuations of Plasma Nano-processing.
452. Optical Bandgap Energy Control of Nano-crystalline Si Films by using a Multi-hollow Discharge Plasma CVD Method.
453. N. Itagaki, Sputtering Growth of ZnO-based semiconductors with Band Gap Tunability over the Entire Visible Spectrum (Invited), Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2014), 2014.12.
454. 董ショウ, 古閑一憲, 山下大輔, 徐鉉雄, 板垣奈穂, 白谷正治, Contributions of ion irradiation to the characteristics of a-C:H films deposited by H-assisted plasma CVD method, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
455. 鳥越祥宏, 都甲将, 毛屋公孝, 山下大輔, 徐鉉雄, 板垣奈穂, 鎌滝晋礼, 古閑一憲, 白谷正治, Evaluaion of Si-H2 bond formation of a-Si:H film using cluster eliminating filter, 平成26年度応用物理学会九州支部学術講演会, 2014.12.
456. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Perovskite Solar Cells on the Ratio of Lead Iodide and Methylammonium Iodide, 2014 MRS Fall Meeting, 2014.12.
457. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Sputtering Growth of Pseudobinary ZnO-InN Alloys with Tunable Band Gap for Application in Multi-Quantum Well Solar Cells, 2014 MRS Fall Meeting, 2014.12.
458. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Strained Multi-Quantum Well Solar Cells Utilizing ZnInON, 2014 MRS Fall Meeting, 2014.12.
459. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Morphology Control of Buffer Layers for Growth of Single-Crystalline ZnO Films on Lattice Mismatched Substrates, 2014 MRS Fall Meeting, 2014.12.
460. N. Itagaki, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, and M. Shiratani, Synthesize of ZnO-based Semiconductor with Tunable Band Gap and Its Application in Multi-Quantum-Well Solar Cells, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
461. H. Seo, S. Hashimoto, G. Uchida, N. Itagaki, K. Koga and M. Shiratani, Optical Analysis on Perovskite Films According to CH3NH3I and PbI2 Concentration, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
462. S. Toko, Y. Torigoe, Y. Kanemitu, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Effects of Gas Residence Time on Amount of Si Clusters Incorporated into a-Si:H Films, 6th World Conferenceon Photovoltaic Energy Conversion, 2014.11.
463. N. Itagaki, T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Non-Equilibrium and Extreme State -High-mobility amorphous In2O3:Sn films prepared via nitrogen-mediated amorphization-, Plasma Conference 2014, 2014.11.
464. K. Koga, T. Ito, H. Seo, N. Itagaki, M. Shiratani, Analysis of coupling between nanoparticles and radicals using perturbation of radical density in reactive plasmas, Plasma Conference 2014, 2014.11.
465. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of dust deposition on optical characteristics of substrates, Plasma Conference 2014, 2014.11.
466. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, In-situ mass measurement of dust particles generated due to interaction between H2 plasma and graphite wall, Plasma Conference 2014, 2014.11.
467. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of crystalline Ge films using RF sputtering and metal catalyst, Plasma Conference 2014, 2014.11.
468. N. Itagaki, Sputteing Growth of High-Quality ZnO-based Semiconductors for Optoelectronic Applications (Invited), American Vacuum Society 61st International Symposium and Exhibition (AVS), 2014.11.
469. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Ge films for applying solar cells using RF magnetron sputtering and gold film catalyst, Global Photovoltaic Conference 2014, 2014.11.
470. S. Hashimoto, D. Ichida, H. Seo, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si nanoparticles using plasmas in water for organic/inorganic hybrid solar cells, Global Photovoltaic Conference 2014, 2014.11.
471. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. H. Nam, J. H. Boo, Improvement on charge transportation of Si quantum dot-sensitized solar cell using vanadium doped TiO2, Global Photovoltaic Conference 2014, 2014.11.
472. H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization of Si nano-particles fabricated by multi-hollow discharge plasma chemical vapor deposition, Global Photovoltaic Conference 2014, 2014.11.
473. M. Shiratani, D. Ichida, H. Seo, N. Itagaki, K. Koga, Novel method of Ge crystalline thin film deposition on SiO2 by sputtering, 67th Annual Gaseous Electronics Conference, 2014.11.
474. K. Koga, T. Ito, K. Kamataki, H. Seo, N. Itagaki, and M. Shiratani, Effects of amplitude modulated VHF discharge on coupling between plasmas and nanoparticles, 24th International Toki Conference, 2014.11.
475. T. Ito, D. Yamashita, H. Seo, K. KamatakiI, N. Itagaki, K. Koga, M. Shiratani, Modulation frequency dependence of bispectrum of laser light scattering intensity from nanoparticles formed in reactive plasmas, 67th Annual Gaseous Electronics Conference, 2014.11.
476. R. Katayama, M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of Dust Deposition on Optical Characteristics of Mirrors Installed on First Wall in LHD, 15th Workshop on Fine Particle Plasmas, 2014.10.
477. M. Shiratani, T. Ito, M. Soejima, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, Single Fine Particle Trapped in Ar Plasma by Optical Tweezers (Invited), 15th Workshop on Fine Particle Plasmas, 2014.10.
478. G. Uchida, D. Ichida, H. Seo, S. Hashimoto, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Size-controlled Ge Nanoparticle Synthesis and Their Application to Quantum-dot Solar Cells, 15th Workshop on Fine Particle Plasmas, 2014.10.
479. M. Tateishi, R. Katayama, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Development of an in-situ Detection Method of Dust Particles Deposited on Vessel Wall Using Quartz Crystal Microbalances, 15th Workshop on Fine Particle Plasmas, 2014.10.
480. Y. Torigoe, S. Toko, W. Chen, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, and M. Shiratani, Effects of hydrogen dilution to nanoparticle formation in silane hydrogen mixture multi-hollow discharge plasmas, 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
481. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of tandem dye-sensitized solar cell based on mesh-type of counter electrode, 65th Annual Meeting of the International Society of Electrochemistry, 2014.09.
482. M. Shiratani, H. Seo, N. Itagaki, G. Uchida, K. Koga, Plasma CVD nanostructured films for energy applications (Invited), 12th Asia Pacific Conference on Plasma Science and Technology (APCPST) and 27th Symposium on Plasma Science for Materials (SPSM), 2014.09.
483. N. Itagaki, Fabrication of Pseudo-binary ZnO-InN Alloys with Tunable Bandgap by Low-Temperature Magnetron Sputtering (Invited), 15th IUMRS-International Conference in Asia, 2014.08.
484. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Counter electrode based on conductive polymer for cost-effective dye-sensitized solar cells, 15th IUMRS-International Conference in Asia, 2014.08.
485. S. Toko, Y. Hasahimoto, Y. Kanemitsu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Hysteresis of Cluster Amount in Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
486. T. Ito, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Raman spectroscopy of optically trapped single fine particle in plasmas , 15th IUMRS-International Conference in Asia, 2014.08.
487. K. Koga, Y. Morita, T. Ito, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, Spatiotemporal Analysis of Nanoparticle Growth in Amplitude Modulated Reactive Plasmas for Understanding Interactions between Plasmas and Nanomaterials (Invited), 15th IUMRS-International Conference in Asia, 2014.08.
488. M. Shiratani, H. Seo, K. Koga, N. Itagaki, Stability of nanoparticle growth processes in reactive plasmas, 15th IUMRS-International Conference in Asia, 2014.08.
489. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Substrate Temperature on Defect Density of Ge Nanoparticle Films , 15th IUMRS-International Conference in Asia, 2014.08.
490. Y. Torigoe, Y. Hashimoto, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Hydrogen Concentration Associated with Si-H2 Bonds in Films Deposited by High Frequency Multi-Hollow Discharge Plasma CVD Method , 15th IUMRS-International Conference in Asia, 2014.08.
491. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, Real Time Deposition Measurement of Dust Particles Generated by Plasma-Wall Interaction , 15th IUMRS-International Conference in Asia, 2014.08.
492. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Si QD/PEDOT:PSS Hybrid Solar Cells Using Si Nanoparticles Fabricated by Multi-Hollow Plasma CVD , 15th IUMRS-International Conference in Asia, 2014.08.
493. T. Amano, K. Koga, T. Sarinont, N. Itagaki, M. Shiratani, N. Hayashi, Y. Nakatsu, T. Tsuzuki, M. Hirata, A. Tanaka, Synthesis of In nanoparticles using RF sputtering for evaluating nanoparticle kinetics in living body , 15th IUMRS-International Conference in Asia, 2014.08.
494. N. Itagaki, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, Crystal Growth Control of Sputter-Deposited ZnO Films by Nitrogen-Mediated Crystallization Method , 15th IUMRS-International Conference in Asia, 2014.08.
495. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of a novel widegap semiconductor ZnGaON by magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
496. K. Matsuhima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of In-rich ZnInON films by RF magnetron sputtering , 15th IUMRS-International Conference in Asia, 2014.08.
497. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of sputter-deposited ZnO films via nitrogen mediated crystallization: effects of nitrogen flow rate , 15th IUMRS-International Conference in Asia, 2014.08.
498. T. Nakanishi, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, RF Magnetron sputtering of low-resistive ZnO:Ga films with buffer layers fabricated via nitrogen mediated crystallization , 15th IUMRS-International Conference in Asia, 2014.08.
499. N. Itagaki, K. Matsushima, R. Shimizu, and T. Ide, Sputter-Deposition of Pseudobinary ZnO-InN Alloys with Tunable Bandgap for Photovoltaic Application (Invited), International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
500. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, and M. Shiratani, Photovoltaic Application of Polymer: Dye-sensitized Solar Cell and Organic/inorganic Hybrid Solar Cell (Invited), International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
501. Y. Torigoe, C. Weiting, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Koga, and M. Shiratani, Suppression of Cluster Incorporation into a-Si:H Films Using a Cluster Eliminating Filter, International Conference on Microelectronics and Plasma Technology 2014 (ICMAP2014), 2014.07.
502. H. Seo, S. Hashimoto, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Photovoltaic Properties of Organic/Inorganic Hybrid Solar Cells with Improved Electrode, 2014 International Symposium on Green Manufacturing and Applications (ISGMA 2014), 2014.06.
503. K. Koga, M. Tateishi, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Real time mass measurement of dust particles deposited on vessel wall using quartz crystal microbalances in a divertor simulator, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
504. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust collection with dc-biased substrates in large helical device, 21th International Conference on Plasma Surface Interactions (PSI2014), 2014.05.
505. 板垣奈穂, Novel oxynitride semiconductors for photovoltaic applications (太陽電池のための新規酸窒化物材料の探索), 36th Seminar of Photovoltaic Power Generation Project (第36回平成26年度太陽光発電プロジェクト講演会 ), 2014.05.
506. M. Shiratani, S. Toko, K. Koga, N. Itagaki, H. Seo, In-situ Measurements of Volume Fraction of cDusters in Films During Plasma CVD, International Conference on Metallurgical Coatings and Thin Films(ICMCTF 2014), 2014.05.
507. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Si/SiC coreshell nanoparticle composite anode for Li ion batteries, 2014 MRS Spring Meeting, 2014.04.
508. N. Itagaki, Sputtering growth of ZnO-based semiconductors using ZnON buffer layers for optoelectronic applications (Invited), The International Symposium on Plasma-Nano Materials and Processes, 2014.04.
509. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Transport of fine particles produced by interactions between H2 plasmas and carbon wall, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
510. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Volume Fraction of Clusters in Si Thin Films Depoisted by Multi-Hollow Discharge Plasma CVD, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
511. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Qunatum-Dot Sensitized Solar Cells Using Ge Nanoparticle Films Deposited by High Pressure Rf Magnetron Sputtering Method, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterial/7th International Conference on Plasma Nanotechnology and Science (ISPlasma2014/IC-PLANTS2014), 2014.03.
512. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Band gap control of nc-Si thin films deposited multi-hollow discharge plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
513. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Bispectrum analysis of nanoparticle growth in reactive dusty plasmas, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
514. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida and M. Shiratani, Coupling between radicals in plasmas and nanoparticle growth in initial growth phase in reactive plasmas with amplitude modulation, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
515. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage on Cluster Amount in Downstream Region of Multi-Hollow Silane Discharge Plasmas Studied by Laser Light Scattering Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
516. Y. Torigoe,Y. Hashimoto, S. Toko, D. Yamashita, N. Itagaki, H. Seo, K. Kamataki, K. Koga, M. Shiratani, Effects of Amplitude Modulation on Stability of Hydrogenated Amorphous Silicon Films Deposited using Multi-Hollow Discharge Plasma CVD Method, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
517. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Effects of Gas Pressure on Deposition Rate of Anisotropic Plasma CVD, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
518. S. Hashimoto, D. Ichida, K. Kamataki, H. Seo, G. Uchida, N. Itagaki,, K. Koga, M. Shiratani, Effects of Hydrogen Dilution on Structure of Ge Quantum Dot Film Deposited by Using High Pressure rf Sputtering, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
519. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on lattice mismatch substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
520. T. Ito, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, and M. Shiratani, Evaluation of Interactions between Plasmas and Interface using Optically Trapped Single Fine Particle, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
521. K. Koga, Y. Hashimoto, S. Toko, D. Yamashita, Y. Torigoe, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Fabrication of highly stable a-Si:H solar cells by suppressing cluster incorporation (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
522. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of InN-rich ZnInON films for photovoltaic appplications by RF magnetron sputtering, 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
523. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Fabrication of Size-controlled Ge Nanoparticle Films Using High Pressure RF Sputtering Method for Quantum Dot Solar Cell, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
524. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Flux Control of Dust Particles Generated due to Interactions between Graphite Wall and H2 Plasmas using DC Biased Substrates, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
525. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization by RF Magnetron Sputtering Method, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
526. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
527. Y. Hashimoto, Y. Torigoe, S. Toko, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective removal of clusters in silane plasmas by cluster eliminating filter, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
528. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Si nano-particles: Its quantum characteristics and application for photovoltaics (Invited), 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, 2014.02.
529. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of novel semiconductor ZnGaON with wide bandgap for optoelectronic devices, 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
530. G. Uchida, D. Ichida, S. Hashimoto, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Photocurrent generation of quantum-dot sensitized solar cells using group-IV semiconductor nanoparticle films (Invited), 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics, 2014.02.
531. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Combinatorial evaluation of optical properties of crystalline Si nanoparticle embedded Si films deposited by a multi-hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
532. S. Hashimoto, D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Crystalline Ge Nanoparticle Films Varying H2 Dilution Ratio Using High Pressure rf Magnetron Sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
533. Y. Torigoe, Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Effects of amplitude modulation on deposition of hydrogenated amorphous silicon films using multi-Hollow discharge plasma CVD method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
534. D. Ichida, S. Hashimoto, G. Uchida, H. Seo, D. Yamashita, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of size-controlled Ge nanoparticle films varying gas flow rate using high pressure rf magnetron sputtering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
535. Y. Hashimoto, S. Toko, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of hydrogen content of a-Si:H film deposited with a cluster-eliminating filter, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
536. Y. Morita, T. Ito, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis of fluctuation of Ar metastable density and nanoparticle amount in capacitively coupled discharges with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
537. K. Matsushima, R. Shimizu, T. Ide, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of In-rich ZnInON filmswith narrow band gap by RF magnetron sputtering, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
538. S. Toko, Y. Hashimoto, Y. Kanemitu, Y. Torigoe, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fine response of deposition rate of Si films deposited by multi-hollow discharge plasma CVD with amplitude modulation, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
539. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Magnetron sputtering of low-resistive In2O3:Sn films with buffer layers fabricated via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
540. T. Ito, Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Nanoparticle amount in reactive plasmas with amplitude modulation detected by twodimensional laser light scattering method, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
541. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori, Pressure dependence of carbon film deposition using H-assisted plasma CVD, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
542. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Raman spectroscopy of a fine particle optically trapped in plasma, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
543. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Spatial profile of flux of dust particles in hydrogen helicon plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
544. T. Nakanishi, K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter Deposition of Ga-doped Zinc Oxide (GZO) Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
545. R. Shimizu, K. Matsushima, T. Ide, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering fabrication of a novel widegap semiconductor ZnGaON for optoelectronic devices with wide bandgap for optical device, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
546. I. Suhariadi, K. Oshikawa, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Study on nitrogen desorption behavior of sputtered ZnO for transparent conducting oxide, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
547. A. Tanaka, M. Hirata, K. Koga, N. Itagaki, M. Shiratani, N. Hayashi, G. Uchida, Subacute toxicity of gallium arsenide, indium arsenide and arsenic trioxide following intermittent intrantracheal instillations to the lung of rats, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
548. M. Shiratani, K. Koga, K. Kamataki, S. Iwashita, Y. Morita, H. Seo, N. Itagaki, G. Uchida, A model for correlation between plasma fluctuation and fluctuation of nanoparticle growth in reactive plasmas, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
549. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Coupling between radicals and nanoparticles in initial growth phase in reactive plasmas with amplitude modulation (Invited), 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
550. T. Ide, K. Matsushima, R. Shimizu, D. Yamashita, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Epitaxial growth of ZnO films on sapphire substrates by magnetron sputtering: Effects of buffer layers prepared via nitrogen mediated crystallization, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
551. G. Uchida, K. Kamataki, D. Ichida, Y. Morita, H. Seo, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Fabrication of SiC nanoparticles as high capacity electrodes for Li-ion batteries, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
552. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characterization and photovoltaic application of Si nano-particles fabricated by multi-hollow plasma discharge chemical vapor deposition, 8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing (ICRP-8/SPP-31), 2014.02.
553. N. Itagaki, K. Matsushima, I. Suhariadi, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Sputtering growth of single-crystalline ZnO-based semiconductors on lattice mismatched substrates (Invited), International Society for Optics and Photonics, Photonics West 2014, 2014.02.
554. D. C. Look, B. Wang, K. D. Leedy, D. B. Thomson, N. Itagaki, K. Matsushima, I. Surhariadi, Ultrathin ZnO films for transparent conductors and plasmonics, International Society for Optics and Photonics, Photonics West 2014, 2014.02.
555. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanoparticle composite plasma CVD films Fundamental and applications (Invited), The 9th EU-Japan Joint Symposium on Plasma Processing, 2014.01.
556. G. Uchida, H. Seo, D. Ichida, N. Itagaki, K, Koga, M. Shiratani, Solar cells using nanoparticles of group IV semiconductor aiming at MEG effects, 6th International Symposium on Innovative Solar Cells, 2014.01.
557. K. Koga, Y. Morita, K. Kamataki, D. Yamashita, N. Itagaki, G. Uchida, M. Shiratani, Correlation between Plasma Fluctuation and Nanoparticle Amount in Initial Growth Phase in Reactive Plasmas with Amplitude Modulation, 14th Workshop on Fine Particle Plasmas, 2013.12.
558. M. Tateishi, K. Koga, D. Yamashita, K. Kamataki, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, LHD Experimental Group, Transport of Carbon Dust Particles Produced by Interactions between H2 Plasmas and Graphite Target, 14th Workshop on Fine Particle Plasmas, 2013.12.
559. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Ge nanoparticle composite films and their application to solar cells, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
560. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Amplitude Modulation of Discharge Voltage of Multi-Hollow Silane Discharges on Amount of Clusters Formed in the Discharges, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
561. M. Shiratani, K. Koga, Y. Morita, S. Iwashita, H. Seo, K. Kamataki, N. Itagaki, G. Uchida, Time evolution of spatial profile of nanoparticle amount in amplitude modulated capacitively coupled reactive plasmas, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
562. 押川晃一郎, I. Suhariadi, 山下大輔, 徐鉉雄, 鎌滝晋礼, 内田儀一郎, 古閑一憲, 白谷正治, 板垣奈穂, Magnetron sputtering of low-resistive transparent conductive oxide films with double buffer layers fabricated via nitrogen mediated crystallization, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
563. I. Suhariadi, K. Oshikawa, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Morphological Characterization of ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization: Effects of Substrate Temperature, 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
564. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Quantum characteristics of crystalline Si nano-particles and their application for photochemical solar cells (Invited), 23rd Annual Meeting of MRS-JAPAN 2013, 2013.12.
565. K. Koga, G. Uchida, D. Ichida, S. Hashimoto, H. Seo, K. Kamataki, N. Itagaki, and M. Shiratani, Quantum dot sensitized solar cells using group IV semiconductor nanoparticles (Invited), 2013 EMN Fall Meeting , 2013.12.
566. M. Shiratani, N. Itagaki., K. Matsushima, R. Shimizu, H. Seo, K. Koga, Novel metal oxinitride materials for optoelectronic applications (Invited), 2013 EMN Fall Meeting , 2013.12.
567. M. Shiratani, G. Uchida, H. Seo, D. Ichida, K. Koga, N. Itagaki, K. Kamataki, Nanostructure control of Si-based solar cells using plasma CVD (Invited), THERMEC 2013, 2013.12.
568. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Control of plasma CVD films containing group IV nanoparticles (Invited), International Conference on Surface Engineering (ICSE 2013), 2013.11.
569. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani , Performance enhancement of Si quantum dot-sensitized solar cells based on Si functionalization and multi-layered structure , International Conference on Surface Engineering (ICSE 2013), 2013.11.
570. ZnInON semiconductors for photovoltaic application.
571. H. Seo, M.-K. Son, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Dye and Si Quantum Dot Co-sensitized Solar Cells for Performance Enhancement, The 2nd International Conference on Advanced Electromaterials (ICAE 2013), 2013.11.
572. Sputtering growth of ZnO based two-dimensional materials by utilizing impurity-mediated crystallization method.
573. K. Koga, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Deposition of Ge Nanoparticle Films and Their Application to Ge Quantum-dot Sensitized Solar Cells, The 23rd International Photovoltaic Science and Engineering Conference, 2013.11.
574. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Selective Removal of Clusters in Silane Plasmas Using Cluster Eliminating Filter, The 23rd International Photovoltaic Science and Engineering Conference, 2013.10.
575. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Size-controlled Ge Nanoparticle Film by Highpressure rf Magnetron Sputtering for Quantum Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
576. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocurrent Generation Characteristics of Ge Quantum-Dot Solar Cells, AVS 60th International Symposium and Exhibition, 2013.10.
577. K. Matsushima, R. Shimizu, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, PS+TF-MoA11 Two-dimensional Growth of Novel ZnO based Semiconductor ZnInON with Tunable Bandgap by Magnetron Sputtering, AVS 60th International Symposium and Exhibition, 2013.10.
578. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The performance enhancement of dye and quantum dot hybrid nanostructured solar cell with barrier coating, Taiwan Associatoin for Coatings and Thin Films Technology, 2013.10.
579. M. Shiratani, Y. Hashimoto, Y. Kanemitsu, H. Seo, G. Uchida, N. Itagaki, K. Koga, Cluster control plasma CVD for fabrication of stable a-Si:H solar cells, 66th Annual Gaseous Electronics Conference , 2013.10.
580. K. Oshikawa, I. Suhariadi, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Ar/N2 Magnetron Sputtering Discharges to Control Growth of Transparent Conducting Oxide Films, 66th Annual Gaseous Electronics Conference , 2013.10.
581. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Cluster incorporation during amplitude modulated VHF discharge silane plasmas, 66th Annual Gaseous Electronics Conference , 2013.10.
582. R. Shimizu, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputtering deposition of ZnGaInON with tunable bandgap for photovoltaics, 66th Annual Gaseous Electronics Conference , 2013.10.
583. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in quantum-dot sensitized solar cells using Ge nanoparticle films, Solid State Devices and Materials 2013 (SSDM) , 2013.09.
584. N. Itagaki, K. Oshikawa, I. Suhariadi, K. Matsushima, D. Yamashita, H. Seo, G. Uchida, K. Koga, M. Shiratani, Crystallinity Control of Sputtered ZnO:Al Transparent Conducting Films by Utilizing Buffer Layers Fabricated via Nitrogen Mediated Crystallization, Solid State Devices and Materials 2013 (SSDM), 2013.09.
585. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, 第7回プラズマエレクトロニクスインキュベーションホール, 2013.09.
586. N. Itagaki, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G.Uchida, K. Koga, M. Shiratani, Synthesis and Characterization of Oxynitride Semiconductor ZnInON with Tunable Bandgap, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
587. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Amplitude modulation frequency dependence of nanoparticle amount in plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
588. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, U. Czarnetzki, Carbon Nanostructure formed by high pressure methane plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
589. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Dependence of Volume Fraction of Si Clusters in Films on Amplitude Modulation Frequencyin Multi-Hollow Discharge Plasma CVD Method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
590. Y. Hashimoto, S. Toko, Y. Kim, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of filter gap of cluster eliminating filter on cluster eliminating efficiency, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
591. M. Tateishi, K. Koga, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of H2 plasma etching on carbon nanoparticles formed due to interactions betweengraphite target and H2 Plasmas, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
592. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of RF power on structure of Ge nanoparticle films deposited by high-pressure RFmagnetron sputtering method, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
593. M. Shiratani, D. Yamashita, K. Koga, K. Kamataki, N. Itagaki, G. Uchida, Plasma Etching of Single Fine Particle Trapped By Optical Tweezers, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
594. G. Uchida, D. Ichida, Y. Kanemitsu, H. Seo, K. Kamataki, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Production of SiC nanoparticles for Li-ion battery applications by using a high pressuremulti-hollow discharge plasma CVD, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
595. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Time Evolution of Ar Emission Intensities of Ar + H2 + Toluene Plasmas in H-assistedPlasma CVD Reactor, The 26th Symposium on Plasma Science for Materials (SPSM-26), 2013.09.
596. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Band-Gap Engineering of ZnO Based Semiconductors Deposited by Sputtering, 2013 JSAP-MRS Joint Symposia, 2013.09.
597. K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki and G. Uchida, Comparative Acute Pulmonary Toxicity of Different Types of Indium-Tin Oxide Following Intermittent Intratracheal Instillation to the Lung of Rats, 2013 JSAP-MRS Joint Symposia, 2013.09.
598. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Dependence of Si Quantum Dot-Sensitized Solar Cells on Counter Electrode, 2013 JSAP-MRS Joint Symposia, 2013.09.
599. Fabrication of highly stable a-Si:H PIN solar cells using cluster suppression method.
600. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Contribution of ionic deposition precursors to Si thin film deposition, Dry Process Symposium 2013, 2013.08.
601. Y. Kim, S. Toko, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Effects of amplitude modulation of rf discharge voltage on silane plasmas in initial phase, Dry Process Symposium 2013, 2013.08.
602. G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Deposition of Ge nanoparticle films by high-pressure rf magnetron sputtering method for quantum dot solar cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
603. T. Sarinont, S. Kitazaki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani and N. Hayashi, Effects of atmospheric air plasma treatments of seeds on plant growth, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
604. R. Shimizu, K. Matsushima, Tadashi Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Koga, M. Shiratani, and N. Itagaki, Sputtering Fabrication of ZnInON films with Tunable Bandgap for Heterojunction Solar Cells, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
605. N. Itagaki, Novel Approach to Sputtering Growth of Single Crystalline Oxide Semiconductors for Optoelectronic Applications (Invited), The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
606. Y. Morita, S. Iwashita, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga and M. Shiratani, Time evolution of spatial profile of nanoparticle amount in reactive plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
607. H. Seo, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, S. Nam, J. Boo, Performance Enhancement of Si Quantum Dot-sensitized Solar Cell Using vanadium Doped TiO2, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
608. M. Shiratani, K. Koga, A. Tanaka, M. Hirata, N. Hayashi, N. Itagaki, G. Uchida, Safety Issues on Plasma Life Sicences (Invited), The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
609. M. Shiratani, Y. Morita, S. Iwashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Tuning Size Distribution of Nanoparticles Formed in Reactive Plasmas using Plasma Parameter Modulation, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
610. D. Ichida, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Characteristics of GeSn nanoparticle films deposition by high-pressure rf magnetron sputtering method, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
611. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, M. Shiratani and U. Czarnetzki, Formation of self-organized nanostructures using high pressure CH4+Ar plasmas, The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013), 2013.08.
612. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, and K. Koga, Coreshell nanoparticles generated by plasma CVD and their applications to Li ion batteries, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
613. Y. Morita, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, D. Yamashita and M. Shiratani, Observation of nanoparticle growth process using high speed camera, 21st International Symposium on Plasma Chemistry (ISPC21), 2013.08.
614. N. Itagaki, Novel Application of Ar/N2 Discharges to Sputtering Growth of High Quality Oxide Semiconductors (Invited), The XXXI edition of the International Conference on Phenomena in Ionized Gases (ICPIG), 2013.07.
615. Y. Kanemitsu, G. Uchida, D. Ichida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Combinatorial plasma CVD of Si nanoparticle composite films for band gap control, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
616. M. Shiratani, Y. Morita, K. Kamataki, H. Seo, G. Uchida, N. Itagaki, K. Koga, Correlation between nanoparticle growth and plasma parameters in low pressure reactive VHF discharge plasmas, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
617. D. Ichida, G. Uchida, H. Seo, K. Kamatakia, N. Itagaki, K. Koga, M. Shiratani, Effects of H2 gas addition on structure of Ge nanoparticle films deposited by high-pressure rf magnetron sputtering method, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
618. K. Koga, S. Iwashita, G. Uchida, D. Yamashita, N. Itagaki, K. Kamataki, M. Shiratani, U. Czarnetzki, High Pressure Nonthermal Methane Plasmas for Nanoparticle Production, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
619. D. Yamashita, K. Koga, G. Uchida, K. Kamataki, N. Itagaki, and M. Shiratani, Infrared Laser Manipulation of Single Fine Particle Levitated at Plasma/Sheath Boundary, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
620. Y. Morita, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, and M. Shiratani, Transport of nanoparticles produced in reactive plasmas using a positively-biased collector, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
621. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Effects of grid DC bias on incorporation of Si clusters into amorphous silicon films by multi-hollow discharge plasma CVD, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
622. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group d, S. Bornholdte, H. Kersten, Effects of plasma incident angle of dust production due to interactions between hydrogen plasmas and carbon wall, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
623. I. Suhariadi, K. Oshikawa, H. Seo, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Study on the crystal growth mechanism of ZnO films fabricated via nitrogen mediated crystallization, The 12th Asia Pacific Physics Conference (APPC12), 2013.07.
624. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Layer by layer deposition of ZnO buffer layers fabricated via nitrogen mediated crystallization for ZnO:Al transparent conducting oxide, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
625. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, and M. Shiratani, Sputter Deposition of Single Crystal ZnO Films on 18% Lattice mismatched c-Al2O3 Substrates via Nitrogen Mediated Crystallization, International Symposium on Sputtering and Plasma Processes (ISSP2013), 2013.07.
626. N. Itagaki, K. Kuwahara, K. Matsushima, K. Oshikawa, and I. Suarihadi, Sputter Deposition of Semiconductor-Grade ZnO Based Materials on Lattice Mismatched Substrates (Invited), The Collaborative Conference on Materials Research 2013, 2013.06.
627. H. Seo, Y. Wang, D. Ichida, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Analysis on the paint-type Si quantum dot-sensitized solar cells
, International Symposium on Green Manufacturing and Applecations, 2013.06.
628. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, and K. Kamataki, Control of nanostructure of plasma CVD Si thin films (Invited), Japan-Australia Workshop on Gaseous Electronics and Its Applications (JAWS25), 2013.06.
629. M. Tateishi, K. Koga, G. Uchida, K. Kamataki, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust flux control in high density hydrogen plasmas using DC biased substrates, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
630. S. Toko, Y. Kim, Y. Hashimoto, Y. Kanemitu, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, and M. Shiratani, Volume fraction of clusters in Si thin films in initial deposition phase of plasma CVD, The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
631. H. Seo, D. Ichida, S. Hashimoto, G. Uchida, K. Kamataki, N. Itagaki, K. Koga and M. Shiratani, Advance in quantum dot-sensitized solar cells using group IV semiconductor nano-particles (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
632. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, and K. Koga, Advanced plasma sources and processes for energy harvesting devices (Invited), The 17th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.05.
633. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Nanostructure of plasma CVD films containing nanoparticles (Invited), International Conference on Metallurgical Coatings and Thin Films (ICMCTF 2013), 2013.04.
634. 金淵元, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Silicon cluster volume fraction of silicon thin films prepared by multi-hollow plasma discharge CVD, 2013年第60回応用物理学会春季学術講演会, 2013.03.
635. D. C. Look, M. S. Allen, J. W. Allen, N. Itagaki, K. Matsushima, I. Surhariadi, Infrared Plasmonics via ZnO (Keynote lecture), 4th Mexican Workshop on Nanostructured Materials, 2013.03.
636. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Fluctuation in Plasma Processes (Invited), 6th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2013), 2013.02.
637. D. C. Look, Kevin D. Leedy, Arnold M. Kiefer, Bruce B. Claflin, N. Itagaki, K. Matsushima, I. Suhariadi, Model for Thickness dependence of mobility and concentration in highly conductive ZnO, SPIE-Int. Soc. Opt. Photonics, Photonics West (2013), 2013.02.
638. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of zinc-indium oxynitride semiconductors with narrow bandgap for excitonic transistors, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
639. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, Cycle characteristics of lithium ion batteries using Si/SiC core-shell nanoparticle anode, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
640. Y. Hashimoto, K. Hatozaki, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Dependence of Voc of a-Si:H solar cells on distance between discharge and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
641. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, and N. Itagaki, Effects of deposition temperature on properties of epitaxial ZnInON films for solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
642. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, N. Itagaki, Effects of Nitrogen on Crystal Growth of Sputter-Deposited ZnO Films for Transparent Conducting Oxide, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
643. G. Uchida, Y. Wang, H. Seo, D. Ichida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Energy conversion efficincy of Si nanoparticle/PEDOT:PSS qunatum-dot solar cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
644. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and The LHD Experimental Group , Evaluation of etching effects due to H+ ions on dust transport using local bias potential, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
645. Y. Wang, D. Ichida, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Properties and Performance of C-Si/Si QDs/organic Heterojunction Solar Cells, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
646. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Sanghoon Nam and Jin-Hyo Boo, The improvement on the electron transfer of dye-sensitized solar cell using vanadium doped TiO2, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.02.
647. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Dependence of performance of p-i-n a-Si:H solar cells using stable a-Si:H films on distance between discahrges and substrate, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
648. K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Spatio-temporal structure of growth of nano-particles with without amplitude modulation in reactive plasmas, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
649. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Substrate temperature dependence of silcion cluster volume fraction in silicon thin films measured with quartz crystal microbalances, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
650. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, Time evolution of nanoparticle size in reactive plasmas: comparison between theory and experiments, 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), 2013.01.
651. H. Seo, Y. wang, D. Ichida, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The fabrication of paint-type Si quantum dot-sensitized solar cells and ZnS post-treatment (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
652. N. Itagaki, K. Kuwahara, I. Suhariadi, K. Oshikawa, K. Matsushima, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of atomically-flat ZnO films on lattice mismatched substrates via nitrogen mediated crystallization (Invited), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
653. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition rate dependence of silicon cluster volume fraction in silicon thin films deposited by multi-hollow plasma discharge CVD, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
654. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for MQW solar cells by RF magnetron sputtering, The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
655. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, Tuning nanostructures of plasma CVD films (Plenary), The 16th International Workshop on Advanced Plasma Processing and Diagnostics, 2013.01.
656. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Etching Effects of Hydrogen Ions on Dust Collection Using Local Bias Potential, 2013 International Symposium on Information Science and Electrical Engineering, 2013.01.
657. H. Seo, Y. Wang, D. Ichida, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Electrochemical impedance analysis on the additional layers for the enhancement on the performance of dye-sensitized solar cell, 10th International Conference on Nano-Molecular Electronics, 2012.12.
658. G. Uchida, K. Kamataki, H. Seo, N. Itagaki, K. Koga, T. Ishihara, and M. Shiratani, Application of Si nanoparticles to energy devices: quantum-dot solar cells and Li ion batteris (Invited), The 69th IUVSTA Workshop, 2012.12.
659. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga , A simplified model of a relationship between plasma fluctuation and size distribution of nanoparticles formed in reactive plasmas, 第13回微粒子プラズマ研究会, 2012.12.
660. K. Koga, Y. Wang, D. Ichida, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Deposition of Si nanoparticle composite films for C-Si/Si QDs/organic Solar Cells, 第13回微粒子プラズマ研究会, 2012.12.
661. K. Nishiyama, M. Tateishi, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagar2, and the LHD Experimental Group, Dust Collection on DC Biased Substrates during Glow Discharges in the Large Helical Device, 第13回微粒子プラズマ研究会, 2012.12.
662. M. Tateishi, S. Iwashita, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Dust particle formation due to interaction between deuterium helicon plasmas and graphite wall, 第13回微粒子プラズマ研究会, 2012.12.
663. Y. Morita, K. Kamataki, G. Uchida, Y. Kim, H. Seo, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, SiC/Si nanoparticle anode of lithium ion batteries fabricated using double multi-hollow plasma CVD, 第13回微粒子プラズマ研究会, 2012.12.
664. N. Itagaki, K. Kuwahara, K. Matsushima, T. Hirose, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Epitaxial Growth of ZnO Based Semiconductors via Impurity-Additive Mediated Crysallization, 2012 MRS Fall Meeting, 2012.11.
665. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Sputter deposition of Epitaxial Zinc-Indium Oxynitride Films for Excitonic Transistors, The 34th International Symposium on Dry Process , 2012.11.
666. Y. Wang, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of c-Si/organic heterojunction solar cells by using Si quantum dots, The 34th International Symposium on Dry Process , 2012.11.
667. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Epitaxial Growth of ZnInON Films for Piezo-Electric-Field Effect MQW Solar Cells, AVS 59th International Symposium & Exhibition, 2012.11.
668. Y. Morita, K. Kamataki, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, SiH4/H2 and CH4 multi-hollow discharge plasma CVD of SiC nano-composite anode for high charge-discharge capacity lithium ion batteries, AVS 59th International Symposium & Exhibition, 2012.11.
669. K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of atomically smooth ZnO films with buffer layers crystallized via nitrogen mediation, AVS 59th International Symposium & Exhibition, 2012.11.
670. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Long-range correlation of nanoparticle growth in in low pressure reactive VHF discharge plasmas, 54th Annual Meeting of the APS Division of Plasma Physics (DPP), 2012.10.
671. G. Uchida, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Photocarrier generation in Si quantum-dot sensitized solar cells, AVS 59th International Symposium & Exhibition, 2012.10.
672. M. Shiratani, K. Kamataki, Y. Morita, H. Seo, N. Itagaki, G. Uchida, K. Koga, Impacts of plasma fluctuation on growth of nanoparticles in low pressure reactive VHF discharge plasmas, 65th Annual Gaseous Electronics Conference (GEC), 2012.10.
673. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Control of Dust Flux in LHD and in a Divertor Simulator, 24th Fusion Energy Conference (IAEA) , 2012.10.
674. I. Suhariadi, K. Oshikawa, K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, N. Itagaki, M. Shiratani, Fabrication of ZnO Buffer Layers via Nitrogen-Mediated Crystallization for ZnO:Al Transparent Conducting Oxide: Effects of Oxygen Addition, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
675. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of amount of Si clusters incorporated during film deposition using quartz crystal microbalances, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
676. N. Itagaki, K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Thin Films for Multiple-Quantum–Well Solar Cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
677. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, Control of size distribution of nanoparticles produced in reactive plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
678. K. Matsushima, T. Hirose, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of epitaxial ZnInON films for solar cell application by N2/Ar sputtering, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
679. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, H. Seo, N. Itagaki, K. Koga, M. Shiratani, H. Nagano, T. Ishihara, High capacity lithium ion batteries using SiC nanoparticles, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
680. K. Kuwahara, K. Matsushima, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, N. Itagaki, Sputter deposition of epitaxial ZnO films: effects of O2 partial pressure, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
681. Y. Hashimoto, K. Hatozaki, Y. Kim, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Temperature dependence of fill factor of a-Si:H Schottky cells, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
682. D. Yamashita, K. Koga, S. Kitazaki, K. Kamataki, G. Uchida, N. Itagaki, M. Shiratani, Time evolution of diameter of laser trapped single dust particle in plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
683. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Growth Control Of ZNO Nano-Rod With Various Seeds And Photovoltaic Application, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
684. G. Uchida, N. Sadegh, K. Kamataki, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Temporal Evolution Of Number Density Of Ar Metastable Atoms In Dusty Plasmas, Asia-Pacific Conference on Plasma Science and Technology (11th APCPST), 2012.10.
685. N. Itagaki, K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, Zinc-Indium Oxynitride Semiconductors for Piezo-Electric-Field Effect MQW Solar Cells, IUMRS‐ICEM 2012 , 2012.09.
686. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, D. Yamashita, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using a cluster eliminating filter, IUMRS‐ICEM 2012 , 2012.09.
687. Y. Wang, J. Boo, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of V-doped TiO2 on Performance Si QDSCs, IUMRS‐ICEM 2012 , 2012.09.
688. H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Enhancement of light harvesting efficiency with multi-layered electrode of Si quantum dot-sensitized solar cells, IUMRS‐ICEM 2012 , 2012.09.
689. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of Zn-In-ON films with tunable optical bandgap for photovoltaic applications, IUMRS‐ICEM 2012 , 2012.09.
690. I. Suhariadi, K. Oshikawa, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO Films via Nitrogen-Mediated Crystallization as Buffer Layers for ZnO:Al Transparent Conducting Oxide, IUMRS‐ICEM 2012 , 2012.09.
691. K. Hatozaki, Y. Hashimoto, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Hydrogenated Amorphous Silicon Solar Cells Fabricated by a Multi-hollow Discharge Plasma CVD Method, IUMRS‐ICEM 2012 , 2012.09.
692. K. Kuwahara, N. Itagaki, D. Yamashita, G. Uchida, K. Kamataki, H. Seo, K. Koga, M. Shiratani, ZnO films with buffer layers crystallized via nitrogen mediation: effects of thickness of buffer layers, IUMRS‐ICEM 2012 , 2012.09.
693. G. Uchida, K. Koga, D. Yamashita, K. Kamataki, N. Itagaki, M. Shiratani, An infrared laser trap of a single dust particle for study of plasma-surface interactions , IUMRS‐ICEM 2012 , 2012.09.
694. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si quantum-dot thin films for solar cell applications using multi-hollow discharge plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
695. K. Kamataki, M. Shiratani, T. Ishihara, H. Nagano, Y. Morita, K. Kuwahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, High Capacity Li Ion Battery Anodes Using Silicon Carbide Nanoparticles Produced by Double Multi-Hollow Discharge Plasma CVD, IUMRS‐ICEM 2012 , 2012.09.
696. Y. Kim, K. Hatozaki, Y. Hashimoto, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Measurements of Cluster Volume Fraction in Silicon Thin Films Using Quartz Crystal Microbalances, IUMRS‐ICEM 2012 , 2012.09.
697. Y. Morita, K. Kamataki, G. Uchida, D. Yamashita, N. Itagaki, H. Seo, K. Koga, M. Shiratani, Selective deposition of nanoparticles to valleys of texture substrates , IUMRS‐ICEM 2012 , 2012.09.
698. M. Shiratani, Y. Morita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, A Theoretical Model of a Relationship between Plasma Fluctuation and Nanostructure Fluctuation, IUMRS‐ICEM 2012 , 2012.09.
699. M. Shiratani, K. Kamataki, Y. Morita, G. Uchida, H. Seo, N. Itagaki, K. Koga, In-situ Measurements of Size Distribution of Nanoparticles Formed in Reactive Plasmas Using a Laser Light Scattering Method, IUMRS‐ICEM 2012 , 2012.09.
700. K. Koga, D. Yamashita, S. Kitazaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Optical Trapping of Single Fine Particle in Plasmas for study of interactions between a fine particle and plasmas, NANOSMAT 2012, 2012.09.
701. H. Seo, Y. Wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Study on the fabrication of paint-type Si quantum dot-sensitized solar cells, International Conference on Electronic materials and Nanotechnology for Green Environment (ENGE 2012), 2012.09.
702. K. Koga, T. Urakawa, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile and properties of plasma CVD carbon films, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
703. N. Itagaki, I. Suhariadi, K. Kuwahara, K. Oshikawa, D. Yamashita, H. Seo, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Crystallinity control of sputtered ZnO films by utilizing buffer layers fabricated via nitrogen mediated crystallization: Effects of nitrogen flow rate, 13th International Conference on Plasma Surface Engineering (PSE2012), 2012.09.
704. M. Shiratani, S. Kitazaki, T. Sarinont, K. Koga, G. Uchida, N. Itagaki, H. Seo, K. Kamataki, N. Hayashi, Growth Enhancement of Plants by Combinatorial Plasma Irradiation, The 9th International Bioelectrics Symposium (BIOELECTRICS 2012) , 2012.09.
705. H. Seo, Y. Wang, M. Sato, G. Uchida, K. Koga, N. Itagaki, K. Kamataki and M. Shiratani, The improvement on the performance of quantum dot-sensitized solar cells with functionalized Si, International Union of Materials Research Society – International Conference in Asia – 2012 (IUMRS-ICA-2012) , 2012.08.
706. M. Shiratani, N. Itagaki, K. Koga, Uchida G., K. Kamataki, H. Seo, Nanostructure control of thin films depoisted by plasmas and its application to fabrication of green energy devices (Invited), (APT2012) The 2nd Advanced Plasma Technology for Green Energy and Biomedical Applications, 2012.08.
707. G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si nano-particle films for Si quantum-dots sensitized solar cells, The 6th International Conference on Technological Advances of Thin Films and Surface Coating, 2012.07.
708. M. Shiratani, K. Kamataki, Y. Morita, K. Koga, G. Uchida, N. Itagaki, H. Seo, Impacts of plasma fluctuation on nanoparticle growth in reactive plasmas, IEEE ICOPS2012, 2012.07.
709. S. Bornholdt, K. Kuwahara, N. Itagaki, H. Wulff, M. Shiratani, H. Kersten, Investigations on the energy balance of the substrate during ZnO magnetron sputtering, 39th European Physical Society Conference on Plasma Physics, 16th International Congress on Plasma Physics , 2012.07.
710. G. Uchida, K. Kamataki, H. Seo, Y. Morita, N. Itagaki, K. Koga, T. Ishihara, M. Shiratani, Application of SiC nanoparticle films to energy conversion devices: lithium ion batteries and quantum-dot solar cells (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
711. Y. Hashimoto, K. Hatozaki, Y. Kim, G.u Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films with a cluster eliminating filter, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
712. K. Matsushima, K. Kuwahara, D. Yamashita, G. Uchida, H. Seo, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnInON films with high crystallinity for photovoltaic applications, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
713. Y. Morita, K. Kamataki, K. Koga, G. Uchida, H. Seo, D. Yamashita, N. Itagaki, M. Shiratani, Observation of growth of nano-particles using a high speed camera, The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
714. H. Seo, Y. wang, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, The fabrication of multi-layered Si quantum dot-sensitized solar cells for better light harvesting (Invited), The 15th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.06.
715. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki,, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge Power Dependence of Carbon Dust Flux in a Diverter Simulator, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
716. K. Koga, K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Effects of Substrate DC Bias Voltage on Dust Collection Efficiency in Large Helical Device, 20th International Conference on Plasma Surface Interactions 2012 (PSI2012), 2012.05.
717. M. Shiratani, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, Characteristics of stable a-Si:H Schottoky cells fabricated by suppressing cluster deposition, 2012 MRS Spring Meeting, 2012.04.
718. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Study for Chracterization of Nanoparticle-Suppressed Plasma CVD for Microcrystalline Film Deposition, 2012 MRS Spring Meeting, 2012.04.
719. H. Seo, Y. Kim, M. Sato, Y. Wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The analysis on the optical properties of size-controllable Si nano-particles by multi-hollow plasma discharge CVD, 2012 MRS Spring Meeting, 2012.04.
720. N. Itagaki, Piezo-electric-field effect MQW solar cells based on novel oxynitride semiconductors, 日本化学会第92春季年会(2012), 2012.03.
721. K. Koga, K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, H. Seo, G. Uchida, N. Itagaki, M. Shiratani, Effects of incorporation of clusters generated in the plasma ignition phase on Schottky cell performance of amorphous silicon films, The Fourth International Workshop on Thin-Film Silicon Solar Cells (IWTFSSC-4), 2012.03.
722. K. Koga, K. Kamataki, S. Nunomura, S. Iwashita, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, U. Czarnetzki, Three growth modes of nanoparticles generated in reactive plasmas, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
723. S. Bornholdt, N. Itagaki, K. Kuwahara, H. Wulff, M. Shiratani, H. Kersten, Energy balance at the substrate during magnetron sputter deposition of ZnO, DPG Spring Meeting of the Section AMOP (SAMOP), 2012.03.
724. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Plasma fluctuation and plasma nanotechnologies (Invited), The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
725. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Properties of microcrystalline silicon thin films with/without silicon nanoparticles deposited by multi-hollow plasma CVD, The 5th International Conference on Plasma Nanotechnology and Science (IC-PLANTS 2012), 2012.03.
726. M. Shiratani, K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, A-Si:H Schottky Cells with Quite Low Light Induced Degradation Fabricated by Multi-hollow Discharge Plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
727. I. Suhariadi, K. Matsushima, K. Kuwahara, K. Oshikawa, K. Nakahara, D. Yamashita, H. Seo, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Effect of Hydrogen Dilution on ZnO Thin Films Fabricated via Nitrogen Mediated Crystallization, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
728. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Etching rate of carbon films deposited by H-assisted plasma CVD, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
729. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, H. Seo, M. Shiratani, Interaction between amplitude modulated plasmas and nanoparitcles growth in the plasmas, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
730. Y. Kim, T. Matsunaga, H. Seo, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical Emission Chracteristics of Nanoparticle-supressed CVD Plasmas for Microcrystalline Silicon Film Deposition, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
731. H. Seo, M. Sato, Y. Wang, Y. Kim, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the adhesion of Si nano-particles for Si quantum dot-sensitized solar cells, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
732. G. Uchida, Y. Wang, M. Sato, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Control of dust-particle transportation in multi-frequency capacitively coupled radio frequency discharge, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
733. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. MasuzakiI, K. Nishimura, A. sagara, The LHD Expreimental Group, S. Bornholdt, H. Kersten, Mesurements of energy influx towards a graphite target in helicon H2 discharge plasmas using a calorimetric probe , 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), 2012.03.
734. M. Shiratani, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, Impacts of plasma fluctuation on nanostructure formation using plasmas (Invited), The Third International Symposium on Plasma Nanoscience (iPlasmaNano-III), 2012.02.
735. N. Itagaki, K. Kuwahara, K. Matsushima, K. Oshikawa , Novel fabrication method for ZnO films via nitrogen-mediated crystallization (Invited), SPIE (International society for optics and photonics) photonics west 2012, 2012.01.
736. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Control of deposition profile of carbon films on fine trench using low temperature H-assisted plasma CVD method, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
737. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of nano-particles on multi-hollow discharge CVD plasmas for microcrystalline silicon thin film deposition, 第8回日欧プラズマプロセス共同シンポジウム, 2012.01.
738. Y. Hashimoto, K. Nakahara, T. Matsunaga, K. Hatozaki, G. Uchida, H. Seo, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films with a cluster eliminating filter, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
739. K. Nakahara, K. Hatozaki, Y. Hashimoto, T. Matsunaga, M. Sato, H. Matsuzaki, G. Uchida, D. Yamashita, N. Itagaki, K. Koga, M. Shiratani, Deposition of p-type a-Si:H using SiH4+ B10H14 multi-hollow discharge plasma CVD as a window layer for pin cell, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
740. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trench structure using plasma anisotropic CVD method, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
741. Y. Morita, K. Nishiyama, K. Koga, G. Uchida, H. Seo, K. Kamataki, D. Yamashita, N. Itagaki, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, S. Bornholdt, H. Kersten, Discharge power dependence of dust flux in helicon discharge reactor, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
742. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Dust Removal by Applying Bias Voltage to Reactor Wall in Large Helical Device, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
743. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, Effect of N2/Ar Flow Rate Gas Ratio on the AZO Thin Films with Buffer Layers Deposited via Nitrogen Mediated Crystallization, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
744. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of deposition temperature on the properties of ZnO films fabricated via nitrogen mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
745. Y. Kim, T. Matsunaga, H. Seo, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Effects of Nano-particles on Properties of Microcrystalline Silicon Thin Films Fabricated using Multi-hollow Discharge CVD Plasmas, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
746. T. Matsunaga, Y. Kim, K. Koga, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Effects on nano-patricls on crystalline orientation of microcrystalline silicon films for solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
747. K. Matsushima, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Fabrication of Novel Oxynitride Semiconductors by Magnetron Sputtering for Photovoltaic application, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
748. K. Oshikawa, I. Suhariadi, K. Kuwahara, D. Yamashita, Seo H., G. Uchida, K. Kamataki, K. Koga, M. Shiratani, N. Itagaki, Fabrication of ZnO-Based Transparent Conducting Films on Buffer Layers Crystallized via Nitrogen Mediation, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
749. K. Hatozaki, K. Nakahara, Y. Hashimoto, T. Matsunaga, D. Yamashita, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, High stabilization of a-Si:H films by discharge plasma control, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
750. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Optimization of Redox Electrolyte for Higher Performance of Si Quantum Dot-sensitized Solar cells, The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
751. M. Shiratani, K. Koga, K. Kamataki, G. Uchida, H. Seo, N. Itagaki, Recent progress in frontier science of interactions between plasmas and nano-interfaces (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
752. H. Seo, M. Sato, Y. wang, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, The improvement on the combination between TiO2 and Si nano-particles for higher performance of Si quantum dot-sensitized solar cells (Invited), The 14th International Workshop on Advanced Plasma Processing and Diagnostics, 2012.01.
753. G. Uchida, M. Sato, H. Seo, Y. Wang, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Performance of quantum dot sensitized solar cells with nitridated Si nanoparticle films, 第21回日本MRS学術シンポジウム, 2011.12.
754. I. Suhariadi, N. Itagaki, K. Kuwahara, K. Oshikawa, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, K. Nakahara, M. Shiratani, ZnO:Al Thin Films with Buffer Layers Fabricated via Nitrogen Mediated Crystallization: Effects of N2/Ar Gas Flow Rate Ratio, 第21回日本MRS学術シンポジウム, 2011.12.
755. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance Enhancement of Si Quantum Dot-sensitized Solar Cells by Surface Modification Using ZnO barrier layer and 400nm TiO2 Particles, 第21回日本MRS学術シンポジウム, 2011.12.
756. M. Shiratani, K. Nakahara, K. Hatozaki, H. Seo, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Stable a-Si:H Schottky Cells Fabricated by Multi-hollow Discharge Plasma CVD, 第21回日本MRS学術シンポジウム, 2011.12.
757. N. Itagaki, K. Kuwahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zinc oxide-based transparent conducting films with buffer layers fabricated via nitrogen-mediated crystallization, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
758. T. Matsunaga, Kim Y., K. Koga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Effects of nano-particles on (220) crystal orientation of microcrystallite silicon thin films, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
759. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Zno films with buffer layers crystallized via nitrogen mediation: Effects of deposition temperature of buffer layers, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.12.
760. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, A study of optical emission spectroscopy on microcrystalline silicon film using multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
761. K. Nakahara, K. Hatozaki, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Defect density of cluster-free a-si:h films deposited by multi-hollow discharge plasma CVD, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
762. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, H. Seo, G. Uchida, K. Koga, M. Shiratani, Properties and performance of si quantum dot-sensitized solar cells with low temperature titania paste, The 21st International Photovoltaic Science and Engineering Conference (PVSEC-21), 2011.11.
763. M. Shiratani, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, Control of size distribution of nanoparticles in reactive plasmas by using AM rf discharges, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
764. K. Nishiyama, Y. Morita, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD Experimental Group, Effects of substrate bias voltage on dust collection efficiency, 12th Workshop on Fine Particle Plasmas (第12回 微粒子プラズマ研究会), 2011.11.
765. Low resistive ZnO:Al films with ZnO buffer layers fabricated by Ar/N2 magnetron sputtering.
766. K. Kamataki, K. Koga, G. Uchida, H. Seo, N. Itagaki, M. Shiratani, Study of interaction between plasma fluctuation and nucleation of nanoparticle in plasma CVD, Plasma Conference 2011 (PLASMA2011), 2011.11.
767. M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori, Deposition profile control of carbon films on trenched substrate by simultaneous plasma CVD and plasma etching, 64th Gaseous Electronics Conference , 2011.11.
768. Y. Wang, M. Sato, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface modification using ZnO barrier layer, The 33rd International Symposium on Dry Process (DPS 2011), 2011.11.
769. M. Shiratani, Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, Combinatorial Si Film Deposition by Multihollow Discharge Plasma CVD, 第15回薄膜国際会議 (ICTF-15), 2011.11.
770. M. Shiratani, G. Uchida, M. Sato, Y. Wang, K. Koga, N. Itagaki, Quantum dot sensitized solar cells using nanoparticles of Si compounds fabricated by multihollow discharge plasma CVD, AVS 58th International Symposium & Exhibition , 2011.11.
771. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, M. Shiratani, Impacts of Plasma Fluctuations in Reactive Plasmas (Invited), BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
772. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
773. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Fabrication of Si Nano-particles and Their Application to Quantum Dot Sensitized Solar Cells, BIT's 1st Annual World Congress of Nano-S&T, 2011.10.
774. G. Uchida, M. Sato, Y. Wang, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films for Quantum Dot Sensitized Solar Cells, Low Carbon Earth Summit (LCES-2011), 2011.10.
775. M. Shiratani, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Deposition of quantum dot thin films using Si nanoparticles with surface nitridation (Invited), The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
776. Y. Kim, T. Matsunaga, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Effects of Si nano-particle incorporation on properties of microcrystalline silicon thin films studied by multi-hollow discharge CVD, The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011), 2011.09.
777. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Spatial distribution of resistivity of ZnO:Al thin films fabricated on solid-phase crystallized seed layers, European Material Research Society 2011 Fall Meeting (E-MRS), 2011.09.
778. M. Shiratani, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, K. Koga, Frontier science of interactions between plasmas and nano‐interfaces (Plenary), (ICPAT2011)4th International Conference on Advanced Plasma Technologies, 2011.09.
779. K. Kamataki , H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Impacts of Plasma Fluctuations on Growth of Nano-Particles in Reactive Plasmas, the XXX International Conference on Phenomena in Ionized Gases(ICPIG) 2012 Conference, 2011.08.
780. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G.u Uchida, K. Koga, M. Shiratani, Combinatorial study of substrate temperature dependence on properties of silicon films deposited using multihollow discharge plasma CVD, The 20th International Symposium on Plasma Chemistry (ISPC20), 2011.07.
781. K. Hatozaki, K. Nakahara, G. Uchida, H. Seo, N. Itagaki, K. Koga, M. Shiratani, Highly stable schottky cells using cluster-free a-Si:H deposited by multi-hollow discharge plasma CVD method, The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
782. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, Innovative Si solar cells: new approaches and demonstration of devices (Invited), The 13th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.07.
783. N. Itagaki, K. Kuwahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of high quality ZnO films via nitrogen-mediated crystallization, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
784. K. Kamataki, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Control of radial density profile of nano-particle produced in reactive plasma by amplitude modulation of rf discharge voltage, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
785. Y. Kim, T. Matsunaga, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of nanoparticle incorporation on crystalline orientation of microcrystalline silicon films prepared by multi-hollow plasma discharge CVD, 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
786. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control towards Ultimate Plasma Nanotechnologies (Keynote), 第24回プラズマ材料科学シンポジウム (SPSM-24), 2011.07.
787. G. Uchida, M. Sato, Y. Wang, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition of Si Nano-particle Films and its Application to Quantum dot Sensitized Solar Cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
788. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Effects of solid-phase crystallization temperature on properties of epitaxial ZnO films, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
789. K. Nishiyama, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, the LHD Experimental Group, Nanoparticle formation due to interactions between H2 plasmas and graphite, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
790. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Surface modification with TiO2 films for Si quantum dot-sensitized solar cells, 2011 International Workshop on Advanced Electrical Engineering and Related Topics, 2011.07.
791. K. Koga, G. Uchida, M. Sato, Y. Wang, K. Nakahara, K. Kamataki, N. Itagaki, M. Shiratani, Effects of surface treatment on performance of Si nano-particle quantum dot solar cells, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
792. T. Matsunaga, Yeon Won Kim, K. Koga, K. Nakahara, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process Window Evaluation of Microcrystalline Silicon Films with and without Incorporating Clusters using Multi-hollow Discharge Plasma CVD, The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011), 2011.07.
793. Y. Wang, M. Sato, T. Matsunaga, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Performance enhancement of Si quantum dot-sensitized solar cells by surface coating, 九州表面・真空研究会2011(兼第16回九州薄膜表面研究会), 2011.06.
794. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of highly conducting ZnO:Al films utilizing solid-phase crystallized seed layer, European Materials Research Society 2011 Spring Meeting , 2011.05.
795. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, and M. Shiratani, High quality epitaxial ZnO films grown on solid-phase crystallized buffer layers, European Materials Research Society 2011 Spring Meeting , 2011.05.
796. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, and the LHD Experimental Group, Transport control of carbon nanoparticles in plasmas by biasing wall potential for plasma nano-factories, European Materials Research Society 2011 Spring Meeting , 2011.05.
797. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Combinatorial deposition of surface nitridated Si nano-particle composite films by double multi-hollow discharges, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
798. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of P-doped a-Si:H films of a low defect density using SiH4+PH3 multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
799. Y. Kim, T. Matsunaga, Y. Kawashima, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of substrate temperature on properties of μc-Si:H films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
800. T. Matsunaga, Y. Kim, Y. Kawashima, K. Koga, D. Yamashita, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Process window of microcrystalline silicon films deposited using multi-hollow discharge plasma CVD, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
801. M. Shiratani, N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, Ultra Low Resistive NM Thick AZO Films Deposited by Magnetron Sputtering Using Solid Phase Crystallization, The 4th International Conference on Plasma-Nanotechnology & Science (IC-PLANTS 20) , 2011.03.
802. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Deposition of ?c-Si films using plasma CVD under high gas pressure conditions, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
803. K. Nakahara, Y. Kawashima, Y. Kim, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD for pin solar cell, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
804. Y. Kim, T. Matsunaga, Y. Kawashima, M. Sato, K. Nakahara, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effect of substrate temperature on microcrystalline silicon thin films deposited using plasma enhanced CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
805. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated silicon nano-particles by double multi-hollow discharge CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
806. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical and electrical properties of nitridated Si nano-particle composite thin films deposited by double multi-hollow discharges CVD, 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials(ISPlasma20) , 2011.03.
807. G. Uchida, K. Yamamoto, M. Sato, Y. Kawashima, K. Kamataki, N. Itagaki, K. Koga, M. shiratani, Deposition of Si nano-particle quantum dot thin film by multi-hollow discharge and their application to the third generation hotovoltaics, 2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization (若手国際ワークショップ), 2011.03.
808. N. Itagaki, K. Kuwahara, and K. Nakahara, Novel fabrication method for transparent conducting oxide films
utilizing solid-phase crystallized seed layers (Invited), International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011), 2011.02.
809. K. Koga, G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, M. Shiratani, Generation and Surface Modification of Si nano-particles using SiH4/H2 and N2 multi-hollow discharges and their application to the third generation photovoltaics (Invited), International Conference on Advances in Condensed and Nano Materials-2011(ICACNM-2011), 2011.02.
810. Y. Kim, T. Matsunaga, Y. Kawashima, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Combinatorial study on deposition profiles of silicon thin films deposited using multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
811. H. Miyata, S. Iwashita, K. Nishiyama, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, Deposition of SiOx-CH3 nano-particles on trench substrates using pulse RF discharges, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
812. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Electrical characteristics of Si nanoparticles/ruthenium dye hybrid sensitized solar cells, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
813. K. Nishiyama, H. Miyata, D. Yamashita, K. Kamataki, G.u Uchida, N. Itagaki, K. Koga, M. Shiratani, Flux measurements of carbon dust particles towards biased substrates in H2 helicon discharge plasmas, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
814. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, Generation of nitridated Si particle composite films by double multi-hollow plasma CVD method, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
815. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Kamataki, K. Koga, M. Shiratani, Low resistivity AZO films fabricated on solid phase crystallized ZnO buffer layer: solid phase crystallization temperature dependence, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
816. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Optical bandgap energy of B-doped a-Si:H films depositedby SiH4+B10H14multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
817. M. Sato, K. Yamamoto, Y. Kawashima, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani , Photoluminescence measurement of nitridated Si particles generated by double multi-hollow discharge PECVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
818. T. Matsunaga, Y. Kawashima, Y. Kim, K. Koga, K. Nakahara, M. Sato, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Property evaluation of silicon films deposited using high gas pressure multi-hollow discharge plasma CVD, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
819. K. Koga, T. Matsunaga, Y. Kawashima, Y. Kim, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Radical flux evaluation of high pressure silane plasma CVD using multi-hollow discharges (Invited), The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
820. D. Yamashita, K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Surface reactions of P-doped a-Si:H films deposition using SiH4+PH3, The 12th International Workshop on Advanced Plasma Processing and Diagnostics, 2011.01.
821. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate of SiH4+PH3 multi-hollow plasma CVD a-Si:H films, 第20回日本MRS学術シンポジウム, 2010.12.
822. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and the application to solar cell, 第20回日本MRS学術シンポジウム, 2010.12.
823. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Investigation of Interaction between of Growth of Nano Particles and Plasma Fluctuations in Plasma CVD, 第20回日本MRS学術シンポジウム, 2010.12.
824. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, G. Uchida, N. Itagaki, K. Kamataki, M. Shiratani, Microcrystalline silicon films deposited by using multi-hollow discharge plasma CVD under high pressure depletion conditions, 第20回日本MRS学術シンポジウム, 2010.12.
825. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, A novel control method of nano-strucutre of oxide films using sputtering deposition (Keynote Speech), The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) , 2010.12.
826. Deposition of SiOx-CH3 nano-particles on fine pattern substrate.
827. Evaluation of the flux of carbon particles generated plasma-carbon wall interaction to substrates.
828. N. Itagaki, K. Kuwahara, Solid phase crystallization of ZnO films via nitrogen-atom mediation, 2010 MRS Fall Meeting, 2010.11.
829. Current density-voltage characteristics of the sensitized solar cell
using Si nanoparticles and Ru dye
.
830. Fabrication of surface-nitrided Si particles by double multi-hollow discharges.
831. Conductivity of P-doped a-Si:H films deposited using multi-hollow plasma CVD.
832. Preparation of microcrystalline silicon films under high gas pressure condition.
833. Deposition of nano-particles onto micro trench substrates.
834. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon nanoparticles and the application to solar cell (Invited), The 1st Korean-Japan Symposium on Surface Technology, 2010.11.
835. N. Itagaki, Novel fabrication method for oxide semiconductors via atomic-additive mediated crystallization (Invited), International technical conference of IEEE Region 10, 2010.11, 高い電気伝導度と可視光透明性を有する酸化亜鉛(ZnO)はタッチパネルや太陽電池等に欠かせない透明導電膜材料として期待されている。しかし、その性能はレアメタル系材料に比べて低く、本格的な実用化には到っていない。本講演では、申請者が提案する新しい手法により、超低抵抗率を有するレアメタル代替透明導電膜材料を実現したことを報告する。本提案の作製技術は、次の2つの特長を有する。
1. 非晶質ZnON(酸窒化亜鉛)膜をアニールし、ZnOを固相結晶化させることで、結晶核密度の制御されたZnO薄膜を作製する。非晶質相からのZnO固相結晶化に成功した例は本研究が世界で初めてである。
2. 1.で作製した固相結晶化上にZnOを形成することにより、結晶成長初期における核発生が抑制されるため、結晶性に優れたZnO膜を形成することが出来る。これにより従来に比べ抵抗率が一桁低いZnO膜の作製に成功した。
 本研究の成果はZnO透明導電膜実用化のブレークスルーにつながるだけでなく、他の酸化物にも応用可能な、新しい手法として発展すると期待される。.
836. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-Free B-Doped a-Si:H Films Deposited Using SiH4 + B10H14 Multi-Hollow Discharges, International technical conference of IEEE Region 10, 2010.11.
837. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, W. M. Nakamura, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Deposition profiles of microcrystalline silicon films using multi-hollow discharge plasma CVD, International technical conference of IEEE Region 10, 2010.11.
838. K. Kamataki, H. Miyata, K. Koga, G. Uchida, N. Itagaki, D. Yamashita, H. Matsuzaki, M. Shiratani, Effects of Amplitude Modulation of rf Discharge Voltage on Growth of Nano-Particles in Reactive Plasmas, International technical conference of IEEE Region 10, 2010.11.
839. G. Uchida, S. Nunomutra, H. Miyata, S. Iwashita, Dsaisuke Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Effects of Ar addition on breakdown voltage in a Si(CH3)2(OCH3)2 RF discharge, International technical conference of IEEE Region 10, 2010.11.
840. M. Shiratani, K. Koga, G. Uchida, N. Itagaki, K. Kamataki, Fluctuation Control for Plasma Nanotechnologies (Keynote Speech), International technical conference of IEEE Region 10, 2010.11.
841. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Cluster-free p-type a Si:H films deposited using SiH4 + B10H14 multi-hollow discharges, The 4th International Student Workshop on Electrical Engineering, 2010.11.
842. T. Matsunaga, Y. Kawashima, K. Koga, M. Sato, K. Nakahara, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Evaluation of microcrystalline silicon filmas deposited by using multi-hollow discharge plasma CVD, The 4th International Student Workshop on Electrical Engineering, 2010.11.
843. Y. Kawashima, K. Yamamoto, M. Sato, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Novel solar cells using Si nanoparticles, The 4th International Student Workshop on Electrical Engineering, 2010.11.
844. G. Uchida, K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particle in SiH4/H2 and N2 multi-hollow discharges, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
845. M. Shiratani, H. Miyata, K. Nishiyama, S. Iwashita, D.Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, In-situ collection of dust particles produced due to interaction between helicon discharge plasmasand graphite on substrates with bias voltage, 11th Workshop on Fine Particle Plasmas 第11回 微粒子プラズマ研究会, 2010.11.
846. M. Shiratani, K. Koga, G.u Uchida, N. Itagaki, K. Kamataki, Manipulation of Nano-objects Uusing Plasmas for a Plasma Nano-factory (Invited), The 11th Asia Pacific Physics Conference (APPC11), 2010.11, 新しいボトムアッププロセスとしてナノ粒子の操作をプラズマを用いて行う新概念の提案とその実験的検証に関する報告を行った。.
847. G. Uchida, M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Optical and Electrical Properties of Particle Composite Thin Films deposited in SiH4/H2 and N2 Multi-Hollow Discharges, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
848. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles, 2010 International Symposium on Dry Process Program (DPS), 2010.11.
849. K. Koga, H. Miyata, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, the LHD Experimental Group, Deposition of Nanoparticles using Substrate Bias Voltage, 第23回マイクロプロセス・ナノテクノロジー国際会議(MNC 2010), 2010.11.
850. M. Shiratani, K. Koga, T. Matsunaga, Y. Kawashima, W. M. Nakamura, G. Uchida, N. Itagaki, Combinatorial plasma CVD of Si thin films with a multihollow discharge plasma CVD reactor, AVS 57th International Symposium & Exhibition, 2010.10.
851. Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Carrier generation in Si quantum dots-sensitized solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
852. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Deposition of cluster-free B-doped a-Si:H films using SiH4+B10H14 multi-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
853. H. Miyata, Y. Kawashima, K. Yamamoto, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, M. Kondo, Effects of H2 plasma irradiation to TiO2 on quantum dot/dye-sensitized solar cells, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
854. K. Koga, K. Nakahara, T. Matsunaga, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, High speed deposition of highly stable a-Si:H films using pure silane multi-hollow discharges, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
855. T. Matsunaga, Y. Kawashima, K. Koga, K. Nakahara, M. Sato, D. Yamashita, G. Uchida, N. Itagaki, M. Shiratani, Optical and electrical properties of microcrystalline silicon thin films deposited by mutli-hollow discharge plasma CVD, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
856. K. Yamamoto, Y. Kawashima, M. Sato, K. Nakahara, T. Matsunaga, D. Yamashita, H.Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Production of nitridated silicon particles for quantum dot solar cell, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
857. K. Kuwahara, N. Itagaki, K. Nakahara, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, ZnO transparent conductive films prepared by solid-phase crystallization from amorphous phase, Third International Workshop on Thin Film Silicon Solar Cells (IWTFSSC3) , 2010.10.
858. K. Koga, G. Uchida, Y. Kawashima, M. Sato, K. Yamamoto, K. Nakahara, T. Matsunaga, K. Kamataki, N. Itagaki, M. Shiratani, Si quantum dot-sensitized solar cells using Si nanoparticles produced by multi-hollow discharge, 3rd International Symposium on Innovative Solar Cells, 2010.10.
859. N. Itagaki, K. Kuwahara, K. Nakahara, D. Yamashita, K. Kamataki, G. Uchida, K. Koga, M. Shiratani, Fabrication of a-ZnON films by Ar/N2 sputtering for solid-phase crystallization of ZnO, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
860. H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, G. Uchida, N. Itagaki, K. Kamataki, K. Koga, M. Shiratani, N. Ashikawa, S. Masuzaki, K. Nishimura, A. Sagara, LHD experimental group, Carbon dust particles generated due to H2 plasma-carbon wall interaction, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
861. T. Matsunaga, Y. Kawashima, K. Koga, W. M. Nakamura, K. Nakahara, H. Matsuzaki, D. Yamashita, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Combinatorial deposition of microcrystalline Si films using multi-hollow discharge plasma CVD, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
862. K. Nakahara, Y. Kawashima, M. Sato, T. Matsunaga, K. Yamamoto, W. M. Nakamura, D. Yamashita, H. Matsuzaki, G. Uchida, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Deposition rate enhancement of cluster-free P-doped a-Si:H films using multi-hollow discharge plasma CVD method, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
863. G. Uchida, M. Sato, Y. Kawashima, K. Nakahara, K. Yamamoto, T. Matsunaga, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, K. Koga, M. Shiratani, Generation of nitridated silicon particles and their thin film deposition using double multi-hollow discharges, 63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas (ICRP), 2010.10.
864. Deposition of B-doped a-Si:H using by SiH4+ B10H14 multi-hollow discharge plasma CVD.
865. Fabrication of surface nitrided Si particles applying double multi-hollow discharge plasma.
866. Mapping of crystallinity of ?c-Si thin films deposited under high gas pressure.
867. Surface nitridation of silicon particles by double multi-hollow discharges.
868. Deposition of B doped a-Si:H using SiH4+ B0H4 multi-hollow discharge plasma CVD.
869. 2-dimensional mapping of crysallinity of μc-Si films deposited under high gas pressure.
870. Effects of wall potential on flux of dust particles generated due to Interaction between H plasmas and graphite.
871. Effects of Discharge Power Fluctuation on Growth of Nano-Particles in Reactive Plasmas
.
872. Light intensity dependence of photo current of nano-particle sensitized solar cells.
873. M. Sato, Y. Kawashima, K. Yamamoto, K. Nakahara, D. Yamashita, H. Matsuzaki, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, M. Shiratani, M. Kondo, Generation of Si particles and their nitridation using double multihollow discharges, The 11th International Workshop on Advanced Plasma Processing and Diagnostics, 2010.07.
874. K. Koga, Y. Kawashima, T. Matsunaga, M. Sato, K. Nakahara, W. M. Nakamura, G. Uchida, K. Kamataki, N. Itagaki, M. Shiratani, Comparison between Si thin films with and without incorporating nanoparticles into the film, 10th Asia Pacific Conference on Plasma Science and Technology (APCPST), 2010.07.
875. N. Itagaki, Combinatorial sputtering of oxynitride semiconductors (Invited), 2010 International Workshop on Plasma Applications, 2010.06.
876. N. Itagaki, S. Yaginuma, H. Omura, A. Goyal, A. Sato, M. Watanabe, M. Shimada, N. Kaji, K. Takahashi, M. Ofuji, T. Watanabe, H. Shimizu, K. Abe, Y. Tateishi, H. Yabuta, T. Iwasaki, R. Hayashi, T. Aiba, M. Sano and H. Kumomi, Amorphous Oxide Semiconductor Based TFTs: Their Current Situation and Issues (Invited), 第19回日本MRS学術シンポジウム(English Session), 2009.12.
877. N. Itagaki, T. Iwasaki, T. Den, H. Kumomi, K. Nomura, T. Kamiya, and H. Hosono, Zn-In-O based thin-film transistors: Compositional dependence, European Material Research Society 2007 Spring Meeting, 2007.06.
878. N. Itagaki, K. Sasaki and Y. Kawai, Electron-Temperature Measurement in SiH4/H2 ECR Plasma Produced by 915MHz Microwaves, 7th Asia Pacific Conf. Plasma Sci. Technol. and 17th Symp. Plasma Sci. Mater., 2004.06.
879. N. Itagaki, K. Muta, N. Ishii and Y. Kawai, Production of Electron-Temperature-Controllable ECR Plasma for Thin Film Deposition, 50th American Vacuum Soc. Int’l Symp., 2003.11.
880. N. Itagaki, K. Muta, Y. Kawai, N. Ishii, Relationship between the plasma parameter and the microwave power absorption in ECR plasma, American Phys. Soc. 45st Annual Meet. Division of Plasma Phys., 2003.11.
881. N. Itagaki, K. Muta, N. Ishii and Y. Kawai, Electron Temperature Control in ECR Plasma by Varying the Resonance Zone Width, Asian European Int’l Conf. Plasma Surface Engineering, 2003.09.
882. N. Itagaki, H. Muta, N. Ishii and Y. Kawai, Control of the electron temperature by varying the resonance zone width in ECR plasma, 16th Symposium on Plasma Science for Materials, 2003.06.
883. N. Itagaki, K. Muta, N. Ishii and Y. Kawai, Relationship between the electron temperature and the power absorption profile in ECR plasma, 16th Int’l. Symp. Plasma Chemistry, 2003.06.
884. N. Itagaki, S, Iwata, K. Muta, Y. Kawai, A. Yonesu, S. Kawakami, N. Ishii, Behaviour of N2 Dissociation in ECR Plasma, 4th Cross Straits Symp. Mater.,Energy and Environmental Sci., 2002.11.
885. N. Itagaki, S. Iwata, K. Muta, A. Yonesu, S. Kawakami, N. Ishii, Y. Kawai, Behavior of the molecular dissociation in 915 MHz ECR nitrogen plasma, 16th European Conf. Atomic & Molecular Phys. Ionized Gases & 5th Int’l Conf. Reactive Plasmas, 2002.07.
886. N. Itagaki, S. Iwata, K. Muta, A. Yonesu, S. Kawakami, N. Ishii, Y. Kawai, Electron-temperature dependence of nitrogen dissociation in 915 MHz ECR plasma, 6th Asian-European International Conference on Plasma Surface Engineering, 2002.07.
887. N. Itagaki, Y. Kawai, S. Kawakami, N. Ishii, Electron-temperature control in 915 MHz electron cyclotron resonance plasma, 48th American Vacuum Soc. Int’l Symp., 2001.10.
888. N. Itagaki, S. Kawakami, N. Ishii, Y. Kawai, Production of low electron temperature ECR plasma for plasma application, Int’l Conf. Phenomena in Ionized Gases, 2001.07.
889. N. Itagaki, S. Kawakami, N. Ishii, Y. Kawai, Production of low-electron temperature ECR plasma with large area using 915 MHz microwave, 6th Int’l Symp. Sputtering and Plasma Processes, 2001.06.
890. N. Itagaki, Y. Ueda, N. Ishii and Y. Kawai, Effect of Magnetic-Mirror Confinement on Electron Temperature Control in ECR Plasma, 11th Int. Toki Conf. on Plasma Phys.and Control.Nucl. Fusion, 2000.12.
891. N. Itagaki, Y.Ueda and Y. Kawai, Production of Low Electron Temperature ECR Plasma for Plasma Processing, 5th Asia-Pacific Conference on Plasma Science & Technology and 13th Symposium on Plasma Science for Materials, 2000.09.
892. N. Itagaki, Y. Ueda, N. Ishii and Y. Kawai, Production of low electron temperature ECR plasma for thin film deposition, Int’l Conf. Plasma Surface Engineering, 2000.09.
893. N. Itagaki, A. Fukuda, Y. Ueda, N.Ishii and Y. Kawai, Control of the Electron Temperature in an ECR Plasma for Thin Film Deposition, American Phys. Soc. 41st Annual Meet. Division of Plasma Phys., 1999.11.
894. N. Itagaki, A. Fukuda, T. Yoshizawa, M. Shindo, Y. Ueda and Y. Kawai, Plasma parameter measurements and deposition of a-Si:H thin films in pulsed ECR plasma, Asian European Int’l Conf. Plasma Surface Engineering, 1999.09.
895. N. Itagaki, T. Yoshizawa, Y. Ueda, Y. Kawai, Investigation of ECR plasma uniformity from the point of view of production & confinement, 12th Symposium on Plasma Science for Materials, 1999.06.