Kyushu University Academic Staff Educational and Research Activities Database
List of Papers
Taizoh SADOH Last modified date:2024.04.03

Associate Professor / Electronic Devices / Department of Electronics / Faculty of Information Science and Electrical Engineering


Papers
1. Takaya Nagano, Ryutaro Hara, Kenta Moto, Keisuke Yamamoto, Taizoh Sadoh, Improved carrier mobility of Sn-doped Ge thin films (≤20 nm) on insulator by interface-modulated solid-phase crystallization combined with surface passivation, Materials Science in Semiconductor Processing, https://doi.org/10.1016/j.mssp.2023.107692, 165, 107692-1-107692-8, 2023.10, 極薄Ge薄膜の新しい成膜プロセスを創出するとともに、トランジスタを作製し、高い移動度を実現。高移動度チャネルを用いた次世代集積回路の実現を加速する重要な成果である。.
2. Keita Katayama, Hiroshi Ikenoue, Taizoh Sadoh, Modulation of Schottky barrier at metal/Ge contacts by phosphoric acid coating and excimer laser annealing, Materials Science in Semiconductor Processing, https://doi.org/10.1016/j.mssp.2023.107433, 160, 107433-1-107433-7, 2023.06, リン酸塗布とエキシマレーザ照射法を用いた新しいドーピング技術を創出し、Ge表面に極浅・高濃度ドープ層を形成することで金属/Ge界面のフェルミレベルピニングを制御し、ショットキー障壁の変調を実現。高移動度チャネルを用いた次世代集積回路の実現を加速する重要な成果である。.
3. Takashi Kajiwara, Otokichi Shimoda, Tatsuya Okada, Charith Jayanada Koswaththage, Takashi Noguchi, and Taizoh Sadoh, High mobility of (111)-oriented large-domain (>100 μm) poly-InSb on glass by rapid-thermal crystallization of sputter-deposited films, Journal of Applied Physics, https://doi.org/10.1063/5.0105045, 132, 14, 145302-1-145302-6, 2022.10, スパッタ法による堆積と急速熱処理法を用いたInSb薄膜の新しい結晶成長技術を創出し、絶縁膜上に極めて高いキャリア移動度を有するInSb薄膜を実現。高感度かつ低コストな薄膜磁気センサの実現を加速する重要な成果である。.
4. Hongmiao Gao, Taizoh Sadoh, Layer-exchange crystallization for low-temperature (∼450 °C) formation of n-type tensile-strained Ge on insulator, Applied Physics Letters, 10.1063/5.0020489, 117, 17, 172102-1-172102-6, 2020.10, V族元素を触媒として用いた新しい結晶成長技術を創出し、絶縁膜上におけるn型Ge結晶薄膜の低温形成を実現。次世代集積回路や高性能フレキシブル・エレクトロニクスの実現を加速する重要な成果である。.
5. Kaname IMOKAWA, Takayuki KURASHIGE, Akira SUWA, Daisuke NAKAMURA, Taizoh SADOH, Tetsuya GOTO, Hiroshi IKENOUE, Fabrication of CMOS Invertors in Si Thin-Film-Transistors by Laser Doping Using a Chemical Solution Coating, IEEE Journal of the Electron Devices Society, https://doi.org/10.1109/JEDS.2019.2956991, 8, 27-32, 2020.01, [URL].
6. Taizoh Sadoh, Takatsugu Sakai, Ryo Matsumura, Low-Temperature (∼250°C) Gold-Induced Lateral Growth of Sn-Doped Ge on Insulator Enhanced by Layer-Exchange Reaction, ECS Journal of Solid State Science and Technology, http://dx.doi.org/10.1149/2.0281910jss, 8, 10, P609-P614, 2019.10.
7. C. Xu, X. Gong, M. Miyao, T. Sadoh, Enhanced mobility of Sn-doped Ge thin-films (≤50 nm) on insulator for fully depleted transistors by nucleation-controlled solid-phase crystallization, Applied Physics Letters, 10.1063/1.5096798, 115, 4, 042101-1-042101-5, 2019.07, 低温固相成長法に界面変調法を重畳した新しい結晶成長技術を創出し、絶縁膜上における極薄GeSn結晶薄膜の高移動度化を実現。次世代集積回路や高性能フレキシブル・エレクトロニクスの実現を加速する重要な成果である。.
8. Xiangsheng Gong, Chang Xu, Taizoh Sadoh, Improved Carrier Mobility of Thin Ge Films on Insulator by Solid-Phase Crystallization Combined with Interface-Modulation, 26th International Workshop on Active-Matrix Flatpanel Displays and Devices: TFT Technologies and FPD Materials, AM-FPD 2019 AM-FPD 2019 - 26th International Workshop on Active-Matrix Flatpanel Displays and Devices TFT Technologies and FPD Materials, Proceedings, 10.23919/AM-FPD.2019.8830601, 2019.07, High-carrier-mobility thin (≤ ∼50 nm) films on insulator are needed to realize high-performance fully-depleted transistors. To achieve this, an advanced solid-phase crystallization technique of Sn-doped Ge (GeSn) on insulator has been developed. By introduction of a-Si under-layers between GeSn/substrate interfaces, energy barrier for carriers at grain-boundaries in grown films is significantly decreased. As a result, thin (∼50 nm) poly-GeSn films with high carrier mobility of ∼300 cm2/Vs are obtained by using a-Si under-layers. This mobility is the highest among ever reported data for Ge and GeSn thin-films (≤50 nm) on insulator grown at low-temperatures (≤500°C)..
9. C. J. Koswaththage, T. Higashizako, T. Okada, T. Sadoh, M. Furuta, B. S. Bae, and T. Noguchi, High mobility sputtered InSb film by blue laser diode annealing, AIP Advances, https://doi.org/10.1063/1.5087235, 9, 045009-1-045009-5, 2019.04.
10. Kaname Imokawa, Nozomu Tanaka, Akira Suwa, Daisuke Nakamura, Taizoh Sadoh, Tetsuya Goto, Hiroshi Ikenoue, Low temperature and low-cost excimer laser doping for poly-Si thin-film transistor fabrication, Laser-Based Micro- and Nanoprocessing XIII 2019 Laser-Based Micro- and Nanoprocessing XIII, 10.1117/12.2509141, 2019.01, The electrical properties of poly-Si thin films doped using KrF excimer laser irradiation with a phosphoric-acid coating were investigated. After laser doping, the mobility, carrier concentration, activation ratio, and contact resistivity of the poly-Si were found to be 61 cm2 /Vs, 1.5×1018 cm-3, 18.1 %, and 8.5 × 10-5Ω.cm2, respectively. Additionally, the operation of a bottom gate transistor fabricated using laser doping was realized and is described herein..
11. Taizoh Sadoh, Takatsugu Sakai, Ryo Matsumura, Low-temperature (∼250°C) gold-induced lateral growth of Sn-doped Ge on insulator enhanced by layer-exchange reaction, ECS Journal of Solid State Science and Technology, 10.1149/2.0281910jss, 8, 10, P609-P614, 2019, Low-temperature (≤250°C) formation of Sn-doped Ge (GeSn) (substitutional Sn concentration: 2-3%) on insulator is desired to realize flexible electronics, where high-speed thin-film transistors are integrated on flexible plastic-substrates (softening temperature: ∼300°C). To achieve this, gold-induced lateral crystallization of amorphous GeSn (a-GeSn) is investigated. Here, a-GeSn (Sn concentration: 0%-20%) on insulator structures, having Au-island patterns, were annealed. For initial Sn concentrations of 0%-5%, high-speed lateral crystallization proceeds around Au-patterns, and large grown regions (∼5-20 μm) are obtained by low-temperature short-time annealing (150°C-250°C, 10 min). However, bottom regions of GeSn are not crystallized at 150°C-200°C, while the whole films including bottom regions are crystallized at 250°C. These phenomena are caused by the following temperature-dependent growth mechanisms. At 150°C-200°C, Au atoms are supplied into surface-regions of a-GeSn films from Au-islands by surface-diffusion, resulting in crystallization of only surface-regions. On the other hand, at 250°C, layer-exchange of Au/GeSn occurs, which increases supply channel of Au for diffusion. This results in complete crystallization of GeSn to the bottom. Substitutional Sn concentrations in grown layers are increased with increasing annealing temperature. As a result, GeSn films (substitutional Sn concentration: ∼3%) are obtained at ∼250°C..
12. Kaname Imokawa, Nozomu Tanaka, Akira Suwa, Daisuke Nakamura, Taizoh Sadoh, Tetsuya Goto, Hiroshi Ikenoue, Low-Temperature Formation of Ohmic Contact for Si TFT Fabrication by Excimer Laser Doping with Phosphoric Acid Coating (シリコン材料・デバイス), IEICE technical report, 118, 241, 11-14, 2018.10.
13. Kaname Imokawa, Nozomu Tanaka, Akira Suwa, Daisuke Nakamura, Taizoh Sadoh, Tetsuya Goto, Hiroshi Ikenoue, Characterization of Excimer-Laser Doping of a Poly-Si Thin Film with a Phosphoric-Acid Coating for Thin-Film-Transistor Fabrication, 25th International Workshop on Active-Matrix Flatpanel Displays and Devices - TFT Technologies and FPD Materials, AM-FPD 2018 AM-FPD 2018 - 25th International Workshop on Active-Matrix Flatpanel Displays and Devices TFT Technologies and FPD Materials, Proceedings, 10.23919/AM-FPD.2018.8437343, 2018.08, We characterize poly-Si thin films doped by KrF excimer laser irradiation with a phosphoric-acid coating. In this method, implantation and dopant activation can be performed simultaneously without damage of the poly-Si crystallization. After the laser doping, the mobility, carrier concentration, activation ratio, and resistivity of poly-Si were 61 cm2/Vs, 1.5×1018 cm-3, 14.6 %, and 0.08 Ω.cm, respectively..
14. Chang Xu, Hongmiao Gao, Takayuki Sugino, Masanobu Miyao, Taizoh Sadoh, Retraction
Nucleation-controlled low-temperature solid-phase crystallization for Sn-doped polycrystalline-Ge film on insulator with high carrier mobility (∼550 cm2/V s) (Applied Physics Letters (2018) 112 (242103) DOI: 10.1063/1.5024307), Applied Physics Letters, 10.1063/1.5046407, 113, 2, 2018.07, The authors wish to retract their publication.1 This action is taken because an error in film thicknesses used in determining the mobilities reported in the manuscript resulted in mobility overestimates by factors of two, three, and four for the films with thicknesses of 100, 150, and 200 nm, respectively. Since the high value of the mobility was the central result of the manuscript and drove much of the discussion, the authors are retracting the publication. The authors sincerely apologize for any inconvenience this mistake may have caused..
15. Chang Xu, Hongmiao Gao, Takayuki Sugino, Masanobu Miyao, Taizoh Sadoh, Nucleation-controlled low-temperature solid-phase crystallization for Sn-doped polycrystalline-Ge film on insulator with high carrier mobility (∼550 cm2/V s), Applied Physics Letters, 10.1063/1.5024307, 112, 24, 2018.06, High-speed thin-film transistors (TFTs) are required to develop the next generation of electronics, such as three-dimensional large-scale integrated circuits and advanced system-in-displays. For this purpose, high-carrier-mobility semiconductor films on insulator structures should be fabricated with low-temperature processing conditions (≤500 °C). To achieve this, we investigate solid-phase crystallization of amorphous-GeSn (a-GeSn) films (Sn concentration: 2% and thickness: 50-200 nm) on insulating substrates, where thin a-Si under-layers (thickness: 0-20 nm) are introduced between a-GeSn films and insulating substrates. The GeSn films are polycrystallized by annealing (450 °C, 20 h) for all samples irrespective of a-GeSn and a-Si thickness conditions, while the Si films remain amorphous. Analysis of crystal structures of GeSn films (thickness: 50 nm) reveals that grain sizes decrease from ∼10 μm to 2-3 μm by the introduction of a-Si under-layers (thickness: 3-20 nm). This phenomenon is attributed to the change in dominant nucleation sites from the interface to the bulk, which significantly decreases grain-boundary scattering of carriers through a decrease in the barrier heights at grain boundaries. Bulk-nucleation further becomes dominant by increasing the GeSn film thickness. As a result, a high carrier mobility of ∼550 cm2/V s is realized for GeSn films (thickness: 100 nm) grown with a-Si under-layers. This mobility is the largest among ever reported data for Ge and GeSn grown on an insulator. This technique will facilitate realization of high-speed TFTs for use in the next generation of electronics..
16. T. Sadoh, M. Kurosawa, A. Heya, N. Matsuo, and M. Miyao, Large single-crystal Ge-on-insulator by thermally-assisted (~400°C) Si-seeded-pulse-laser annealing, Materials Science in Semiconductor Processing, 70, 8-11, 2017.11.
17. Hongmiao Gao, Rikuta Aoki, Masaya Sasaki, Masanobu Miyao, Taizoh Sadoh, Low-Temperature formation of n-Type Ge/Insulator by Sb-Induced layer exchange crystallization, 24th International Workshop on Active-Matrix Flatpanel Displays and Devices, AM-FPD 2017 AM-FPD 2017 - 24th International Workshop on Active-Matrix Flatpanel Displays and Devices TFT Technologies and FPD Materials, Proceedings, 239-240, 2017.08, Low-Temperature (
18. Takayuki Sugino, Kenta Moto, Hiroshi Ikenoue, Masanobu Miyao, Taizoh Sadoh, Thickness-dependent substitutional-Sn-Concentration in GeSn-on-Insulator by weak-laser-irradiation-enhanced solid-phase crystallization at low-Temperature (180°C), 24th International Workshop on Active-Matrix Flatpanel Displays and Devices, AM-FPD 2017 AM-FPD 2017 - 24th International Workshop on Active-Matrix Flatpanel Displays and Devices TFT Technologies and FPD Materials, Proceedings, 241-243, 2017.08, Effects of film-Thickness on substitutional Sn concentration in GeSn films on insulator grown by combination of laser irradiation and subsequent thermal annealing are investigated. Here, the laser fluence is chosen as weak, which is below the critical fluence for crystallization of GeSn. It is clarified that for samples irradiated with low fluence, complete crystallization of a-Ge0.8Sn0.2 films (thickness: 50200 nm) is achieved by subsequent thermal annealing at 180°C for 5 h without incubation time. The substitutional Sn concentrations increase with decreasing film thickness. As a result, very high substitutional Sn concentration of ∼15%, which corresponds to high-substitution fraction of ∼75% of total Sn atoms, is achieved for film thickness of 50 nm. This technique will be useful to realize next-generation high performance devices on flexible insulating substrates..
19. Kenta Moto, Takayuki Sugino, Ryo Matsumura, Hiroshi Ikenoue, Masanobu Miyao, and Taizoh Sadoh, Low-temperature (AIP Advances, 7, 7, 075204-1-075204-6, 2017.07.
20. Hongmiao Gao, Rikuta Aoki, Masaya Sasaki, Masanobu Miyao, Taizoh Sadoh, Formation of n-type Ge on insulator by low-temperature Sb-induced layer exchange crystallization, 17th International Workshop on Junction Technology, IWJT 2017 17th International Workshop on Junction Technology, IWJT 2017, 10.23919/IWJT.2017.7966503, 21-22, 2017.06, Low temperature (≤500°C) formation of n-type crystalline Ge films on insulator is required to achieve the next-generation large-scale integrated circuits (LSI), where optical functions are merged. This is because n-type Ge shows high-efficiency optical functions owing to high electron population in the Γ band..
21. Takayuki Sugino, Kenta Moto, Hiroshi Ikenoue, Masanobu Miyao, Taizoh Sadoh, Weak-laser-irradiation-enhanced solid-phase crystallization of GeSn-on-insulator at low-temperature (180°C) - Thickness-dependent high substitutional-Sn-concentration, 17th International Workshop on Junction Technology, IWJT 2017 17th International Workshop on Junction Technology, IWJT 2017, 10.23919/IWJT.2017.7966502, 19-20, 2017.06, Low-temperature (≤200°C) formation of GeSn (substitutional Sn concentration: >8%) films on insulator is desired to realize high-speed thin film transistors (TFTs) and high-efficiency optical devices on flexible plastic substrates (softening temperature: ∼200°C). This is because GeSn (substitutional Sn concentration: >8%) has higher carrier mobility than Si and Ge due to the direct-transition energy band structure with smaller effective mass of carriers..
22. Masanobu Miyao, Taizoh SADOH, Novel growth techniques of group-IV based semiconductors on insulator for next-generation electronics, Japanese Journal of Applied Physics, 56, 5, 05DA06-1-05DA06-14, 2017.04, 絶縁膜上におけるIV族系半導体の結晶成長に関する研究を総括。次世代エレクトロニクスの実現を加速する重要な成果である。.
23. Akira Suwa, Nozomu Tanaka, Taizoh Sadoh, Daisuke Nakamura, Hiroshi Ikenoue, Characterization of Si thin films doped by wet-chemical laser processing, SID Symposium, Seminar, and Exhibition 2017, Display Week 2017 Digest of Technical Papers - SID International Symposium, 10.1002/sdtp.11659, 48, 1, 430-432, 2017.01, In this paper, we report on the characterization of Si thin films doped by wet-chemical laser processing. Using this method, implantation and dopant activation can be performed simultaneously. After laser doping, the mobility, carrier concentration, and resistivity of the films were 74 cm2/V·s, 5.5 × 1017 cm-3, and 0.15 Ω·cm, respectively..
24. Taizoh SADOH, Y. Kai, Ryo Matsumura, Kenta Moto, Masanobu Miyao, High carrier mobility of Sn-doped polycrystalline-Ge films on insulators by thickness-dependent low-temperature solid-phase crystallization, Applied Physics Letters, 10.1063/1.4971825, 109, 23, 232106-1-232106-5, 2016.12, 膜厚変調型固相成長法を創出し、高移動度を有するGeSn結晶の絶縁膜上における低温成長を実現。次世代フレキシブル・エレクトロニクスの実現を加速する重要な成果である。.
25. Taizoh Sadoh, Yuki Kai, Ryo Matsumura, Kenta Moto, Masanobu Miyao, High carrier mobility of Sn-doped polycrystalline-Ge films on insulators by thickness-dependent low-temperature solid-phase crystallization, Applied Physics Letters, 10.1063/1.4971825, 109, 23, 2016.12, To realize the advanced thin-film transistors (TFTs), high-carrier-mobility semiconductor films on insulator structures should be fabricated with low-temperature processing conditions (≤500 °C). To achieve this, we investigated the solid-phase crystallization of amorphous-GeSn films on insulating substrates under a wide range of Sn concentrations (0%-20%), film thicknesses (30-500 nm), and annealing temperatures (380-500 °C). Our results reveal that a Sn concentration close to the solid solubility of Sn in Ge (∼2%) is effective in increasing the grain-size of poly-GeSn. In addition, we discovered that the carrier mobility depends on the film thickness, where the mobilities are determined by the counterbalance between two different carrier scattering mechanisms. Here, vacancy-related defects dominate the carrier scattering near the insulating substrates (≤∼120 nm), and grain-size determined by bulk nucleation dominates the grain-boundary scattering of thick films (≥∼200 nm). Consequently, we obtained the maximum mobilities in samples with a Sn concentration of 2% and a film thickness of 200 nm. The effect of increasing the grain-size of poly-GeSn by lowering the annealing temperature was also clarified. By combining these results, a very high carrier mobility of 320 cm2/Vs was obtained at a low temperature of 380 °C. This mobility is about 2.5 times as high as previously reported data for Ge and GeSn films grown at low temperatures (≤500 °C). Our technique therefore opens up the possibility of high-speed TFTs for use in the next generation of electronics..
26. Taizoh SADOH, R. Aoki, T. Tanaka, J.-H. Park, Masanobu Miyao, Low-Temperature Growth of Orientation-Controlled Large-Grain Ge-Rich SiGe on Insulator at Controlled-Position for Flexible Electronics, ECS Transactions, 75, 10, 95-103, 2016.10.
27. T. Sakai, R. Matsumura, Taizoh SADOH, Masanobu Miyao, Low-Temperature Formation of Sn-Doped Ge on Insulating Substrates by Metal-Induced Crystallization, ECS Transactions, 75, 10, 105-108, 2016.10.
28. K. Moto, R. Matsumura, Taizoh SADOH, Hiroshi Ikenoue, Masanobu Miyao, Cooling Rate Dependent High Substitutional Sn Concentration (>10%) in GeSn Crystals on Insulator by Pulsed Laser-Annealing, ECS Transactions, 75, 10, 109-113, 2016.10.
29. Tahsin Morshed, Yuki Kai, Ryo Matsumura, Jong Hyeok Park, Hironori Chikita, Taizoh Sadoh, Abdul Manaf Hashim, Formation of large-grain crystalline germanium on single layer graphene on insulator by rapid melting growth, Materials Letters, 10.1016/j.matlet.2016.05.007, 178, 147-150, 2016.09, We demonstrate the crystallization of thermally deposited amorphous germanium (Ge) microstrips on single layer graphene (SLG) by rapid melting growth. Lateral growth of large grain crystalline Ge was successfully obtained over entire microstrip structure. SLG has shown its capability to suppress the spontaneous nucleation in the melting Ge, where no or less intermixing of C and Ge atoms has been detected. The interaction of C atoms from the graphene and Ge atoms at the interface is the possible reason for the observation of large compressive strain generated in the Ge strip grown on SLG. This technique provides an innovative breakthrough towards the realization of single-crystalline Ge-on-insulator (GOI) structure on SLG to facilitate the next-generation ultra-large-scale integrated circuits (ULSIs) with multifunctionalities..
30. Masaya Sasaki, Masanobu Miyao, Taizoh Sadoh, Large-grain Sn-doped Ge (100) on insulator by aluminum-induced crystallization at low-temperature for flexible electronics, 23rd International Workshop on Active-Matrix Flatpanel Displays and Devices, AM-FPD 2016 Proceedings of AM-FPD 2016 - 23rd International Workshop on Active-Matrix Flatpanel Displays and Devices TFT Technologies and FPD Materials, 10.1109/AM-FPD.2016.7543662, 191-193, 2016.08, A low-temperature formation technique of Sn-doped Ge on insulator has been investigated by aluminum-induced crystallization using a-GeSn/Al stacked structures. For a-GeSn films (Sn concentration: 2%), the layer-exchange growth temperature is significantly decreased compared with a-Ge, which enables low temperature growth at 250°C. At such a low temperature, bulk nucleation of GeSn in Al layers is significantly suppressed, and (100)-oriented interface nucleation becomes dominant. On the other hand, growth rate becomes high by Sn-doping effects. As a result, formation of (100)-oriented large-grain (>10 μm) Sn-doped Ge (Sn concentration: 2%) crystals on insulating substrates becomes possible at a low temperature (250°C). This technique will be useful to realize advanced flexible electronics..
31. Kenta Moto, Ryo Matsumura, Taizoh SADOH, Hiroshi Ikenoue, Masanobu Miyao, Pulse number controlled laser annealing for GeSn on insulator structure with high substitutional Sn concentration, Applied Physics Letters, dx.doi.org/10.1063/1.4955059, 108, 26, 262105-1-262105-5, 2016.07, パルスレーザアニール法におけるパルス数を制御し、絶縁膜上におけるGeSn結晶の低温成長を実現。次世代フレキシブル・エレクトロニクスの実現を加速する重要な成果である。.
32. Tahsin Morshed, Yuki Kai, Ryo Matsumura, Jong Hyeok Park, Hironori Chikita, Taizoh Sadoh, Abdul Manaf Hashim, Formation of germanium (111) on graphene on insulator by rapid melting growth for novel germanium-on-insulator structure, Materials Letters, 10.1016/j.matlet.2016.01.056, 168, 223-227, 2016.04, We demonstrate the crystallization of the microstrips of electrodeposited amorphous germanium (Ge) on graphene on insulator by rapid melting growth for the first time. Growth of single-crystalline Ge microstrips with (111) orientation was confirmed. The high level of compressive strain was found to be resulted from the intermixing of C atoms from multilayer graphene (MLG) and Ge. Probably the introduction of local C atom into Ge film enhances nucleation of Ge on MLG, which results in (111)-oriented Ge nuclei. Subsequent lateral growth enables crystallization of Ge with (111) orientation on the entire microstrip. The results also indicate that graphene is very useful to suppress the spontaneous nucleation in the melting Ge films and the lattice rotation or misorientation. This novel and innovative technique provides a breakthrough towards the realization of high quality Ge-on-insulator structures to facilitate the next-generation ultra-large-scale integrated circuits (ULSIs) with multifunctionalities..
33. Taizoh SADOH, J.-H. Park, R. Aoki, Masanobu Miyao, Low-temperature (10 μm) Ge-rich SiGe on insulator by gold-induced crystallization, THIN SOLID FILMS, dx.doi.org/10.1016/j.tsf.2015.10.057, 602, 3-6, 2016.03.
34. Taizoh SADOH, A. Ooato, J.-H. Park, Masanobu Miyao, High Sn-concentration (similar to 8%) GeSn by low-temperature (similar to 150 degrees C) solid-phase epitaxy of a-GeSn/c-Ge, THIN SOLID FILMS, 10.1016/j.tsf.2015.09.069, 602, 20-23, 2016.03.
35. Taizoh Sadoh, Akira Ooato, Jong Hyeok Park, Masanobu Miyao, High Sn-concentration (~ 8%) GeSn by low-temperature (~ 150 °c) solid-phase epitaxy of a-GeSn/c-Ge, Thin Solid Films, 10.1016/j.tsf.2015.09.069, 602, 20-23, 2016.03, GeSn with a high substitutional Sn concentration (> 7%) is an attractive direct band gap material for high-efficiency photodevices that can be merged with large-scale integrated circuits (LSIs). To achieve GeSn with high Sn concentration, low-temperature solid-phase epitaxy using amorphous-GeSn (a-GeSn) (Sn concentration: 10%-36%)/crystal-Ge (c-Ge) stacked structures was investigated. Solid-phase growth of GeSn was enhanced as Sn concentration was increased, which enabled epitaxial growth at very low temperature (150-200 °C). Interestingly, concentrations of substitutional Sn increased with decreasing growth temperature. As a result, epitaxial growth of GeSn with substitutional Sn concentrations of ~ 8% was achieved by decreasing the growth temperature to 150 °C using a-GeSn (Sn concentration: 36%)/c-Ge stacked structures. This technique is expected to be useful to realize multi-function LSIs, where high-efficiency photodevices are integrated with transistors..
36. Taizoh Sadoh, J. H. Park, R. Aoki, M. Miyao, Low-temperature (≤ 300 °c) formation of orientation-controlled large-grain (≥ 10 μm) Ge-rich SiGe on insulator by gold-induced crystallization, Thin Solid Films, 10.1016/j.tsf.2015.10.057, 602, 3-6, 2016.03, Low-temperature (≤ 300 °C) formation of orientation-controlled large-grain (≥ 10 μm) Ge-rich (≥ 50%) SiGe crystals on insulator are realized by the gold-induced layer-exchange technique. Stacked structures of a-Si1 - xGex (0 ≤ x ≤ 1)/Au/SiO2 are employed as starting materials. Here, thin-Al2O3 layers are introduced as diffusion barrier at a-SiGe/Au interfaces to suppress random bulk-nucleation and make (111)-oriented interface-nucleation on SiO2 dominant. For samples with Ge fraction of 80%-100%, (111)-oriented large-grains (≥ 10 μm) are obtained through layer-exchange during annealing at 250 °C. On the other hand, layer-exchange for Ge fraction of 50% does not proceed at 250 °C. This phenomenon is attributed to retardation of lateral growth by introduction of Si. To enhance lateral growth, increase of annealing temperature is examined. As a result, (111)-oriented large-grains (≥ 10 μm) are realized for SiGe with Ge fraction of 50%-100%, having uniform composition profiles, by annealing at 300 °C. This technique is very useful to realize high-performance flexible electronics, employing plastic substrates (softening temperature: ∼350 °C)..
37. Taizoh Sadoh, Jong Hyeok Park, Rikuta Aoki, Masanobu Miyao, Quasi-single crystal SiGe on insulator by Au-induced crystallization for flexible electronics, Japanese Journal of Applied Physics, 10.7567/JJAP.55.03CB01, 55, 3, 2016.03, Orientation-controlled large-grain (≥10 μm) crystal, i.e., quasi-single crystal, Ge-rich (≥50%) SiGe on insulator grown at low temperatures (≤300 °C) are desired for realization of high-performance flexible electronics. To achieve this, the Au-induced crystallization technique using a-SiGe/Au stacked structures has been developed. This enables formation of (111)-oriented large-grain (≥10 μm) Si1-xGex (x ≥ 0.5) crystals on insulating substrates at low temperatures (300 °C). The surface layers of the grown SiGe crystals have uniform lateral composition profiles. By using this technique, formation of quasi-single crystal Ge on flexible plastic sheets is demonstrated. This technique will be useful to realize highperformance flexible electronics..
38. Taizoh SADOH, J.-H. Park, Rikura Aoki, Masanobu Miyao, Quasi-single crystal SiGe on insulator by Au-induced crystallization for flexible electronics, Japanese Journal of Applied Physics, 55, 03, 03CB01-1-03CB01-4, 2016.01, 触媒誘起成長法を用いて、絶縁膜上における方位制御された大面積SiGe結晶の低温成長を実現。次世代フレキシブル・エレクトロニクスの実現を加速する重要な成果である。.
39. Rikuta Aoki, J.-H. Park, Masanobu Miyao, Taizoh SADOH, Low-Temperature Formation of Large-Grain (≥10 μm) Ge at Controlled-Position on Insulator by Gold-Induced Crystallization Combined with Diffusion-Barrier Patterning, ECS Journal of Solid State Science and Technology, 5, 3, P179-P182, 2016.01.
40. Rikuta Aoki, Jong Hyeok Park, Masanobu Miyao, Taizoh Sadoh, Low-temperature formation of large-grain (10 m) ge at controlled-position on insulator by gold-induced crystallization combined with diffusion-barrier patterning, ECS Journal of Solid State Science and Technology, 10.1149/2.0161603jss, 5, 3, P179-P182, 2016.01, Formation of position-controlled large-grain (10 m) Ge crystals on insulator is realized at low-temperature (300C) by goldinduced-crystallization using a-Ge/Au stacked structures. By introduction of diffusion barriers, i.e., thin-Al2O3 layers (2 nm thickness), having open-windows (3-20 m diameter) into the a-Ge/Au interfaces, Ge crystals are selectively grown from the open windows. For open-windows with diameter of 20 m, the grown areas consist of several Ge (111) grains. This is attributed to that several nuclei acting as seed are generated around the perimeters of the open windows. The number of seeds is linearly decreased by decreasing of the open-window diameter. As a result, (111)-oriented large (10 m) Ge single-crystals, without any grain boundary, are obtained at controlled positions for open-windows with diameter of 3 m. This technique will facilitate realization of flexible electronics and 3-dimensional large-scale integrated circuits, where Ge-based functional high-performance thin-film devices are integrated on flexible plastic substrates and/or amorphous insulating layers..
41. Taizoh Sadoh, R. Aoki, T. Tanaka, J. H. Park, M. Miyao, Low-temperature growth of orientation-controlled large-grain Ge-rich SiGe on insulator at controlled-position for flexible electronics, Symposium on Thin Film Transistors 13, TFT 2016 - PRiME 2016/230th ECS Meeting Thin Film Transistors 13, TFT 13, 10.1149/07510.0095ecst, 75, 95-103, 2016.01, A technique for low-temperature (≤300°C) formation of largegrain (≥10 μm) Ge-rich SiGe crystals on insulator, whose orientation and position are controlled, should be developed to realize flexible electronics. To achieve this, the gold-induced layerexchange crystallization technique using a-SiGe/Au stacked structures has been investigated. By introduction of diffusion control layers into the a-SiGe/Au interface, (111)-oriented largegrain Ge-rich SiGe crystals are achieved on insulating substrates at low temperatures (≤300°C). Moreover, position control of largegrain crystals becomes possible by patterning the diffusion control layers. This low-temperature growth technique is expected to be useful to realize flexible electronics..
42. K. Moto, R. Matsumura, Taizoh Sadoh, Hiroshi Ikenoue, M. Miyao, Cooling rate dependent high substitutional Sn concentration (>10%) in GeSn crystals on insulator by pulsed laser-annealing, Symposium on Thin Film Transistors 13, TFT 2016 - PRiME 2016/230th ECS Meeting Thin Film Transistors 13, TFT 13, 10.1149/07510.0109ecst, 75, 109-113, 2016, Crystallization of Ge1-xSnx (0.1≤x≤0.2) on quartz substrate by pulsed laser annealing was investigated. Substitutional Sn concentration increase with decreasing pulse number. As a result, GeSn crystals with very high substitutional Sn concentration (∼12%) has been realized for the sample (initial Sn concentration: 15%) with a single shot. These results were attributed to the nonthermal equilibrium growth with higher cooling rate by decreasing pulse number. This technique is expected for application to highspeed thin-film-transistors and high-efficiency optical devices..
43. T. Sakai, R. Matsumura, T. Sadoh, M. Miyao, Low-temperature formation of Sn-doped Ge on insulating substrates by metal-induced crystallization, Symposium on Thin Film Transistors 13, TFT 2016 - PRiME 2016/230th ECS Meeting Thin Film Transistors 13, TFT 13, 10.1149/07510.0105ecst, 105-108, 2016, Low-temperature formation of Sn-doped Ge on insulator is desired to realize next generation flexible electronics. To achieve this, metal-induced crystallization (MIC) of a-GeSn layers on insulating substrates is investigated using Au as a catalyst metal. For a-GeSn with initial Sn concentration of 5%, Sn-doped Ge is laterally grown around Au patterns at low temperatures (≤250°C). The Sn concentration (0.5-2.0%) in the grown layers can be controlled by the annealing temperature in the range of 150-250°C..
44. Kai Yuki, Chikita, Hironori, Matsumura, Ryo, Taizoh SADOH, Masanobu Miyao, Seeding Effects of Sn/a-Ge Island Structures for Low-Temperature Lateral-Growth of a-GeSn on Insulator, ECS Journal of Solid State Science and Technology, 5, 2, P76-P79, 2015.12.
45. Matsumura, Ryo, Chikita, Hironori, Kai Yuki, Taizoh SADOH, Hiroshi Ikenoue, Masanobu Miyao, Low-temperature (~180 ℃) position-controlled lateral solid-phase crystallization of GeSn with laser-anneal seeding, Applied Physics Letters, 107, 26, 262106-1-262106-5, 2015.12, レーザアニール法によるSn溶融シード形成技術とGeSn固相成長技術を融合し、絶縁膜上における大面積GeSn結晶の低温成長を実現。次世代フレキシブル・エレクトロニクスの実現を加速する重要な成果である。.
46. Ryo Matsumura, Hironori Chikita, Yuki Kai, Taizoh Sadoh, Hiroshi Ikenoue, Masanobu Miyao, Low-temperature (∼180°C) position-controlled lateral solid-phase crystallization of GeSn with laser-anneal seeding, Applied Physics Letters, 10.1063/1.4939109, 107, 26, 2015.12, To realize next-generation flexible thin-film devices, solid-phase crystallization (SPC) of amorphous germanium tin (GeSn) films on insulating substrates combined with seeds formed by laser annealing (LA) has been investigated. This technique enables the crystallization of GeSn at controlled positions at low temperature (∼180°C) due to the determination of the starting points of crystallization by LA seeding and Sn-induced SPC enhancement. The GeSn crystals grown by SPC from LA seeds showed abnormal lateral profiles of substitutional Sn concentration. These lateral profiles are caused by the annealing time after crystallization being a function of distance from the LA seeds. This observation of a post-annealing effect also indicates that GeSn with a substitutional Sn concentration of up to ∼10% possesses high thermal stability. These results will facilitate the fabrication of next-generation thin-film devices on flexible plastic substrates with low softening temperatures (∼250°C)..
47. Taizoh SADOH, Chikita, Hironori, Matsumura, Ryo, Masanobu Miyao, Ultra-low temperature (JOURNAL OF APPLIED PHYSICS, 10.1063/1.4929878, 118, 9, 067112-1-067112-7, 2015.09, GeSn相図における固液共存温度領域で、GeSn/Si積層構造を熱処理することでSiGe混晶の低温形成を実現。Ⅳ族混晶系の新しい低温成長プロセスの可能性を見いだした。次世代エレクトロニクスの実現を加速する重要な成果である。.
48. Matsumura, Ryo, Masaya Sasaki, Chikita, Hironori, Taizoh SADOH, Masanobu Miyao, Thickness Dependent Solid-Phase Crystallization of Amorphous GeSn on Insulating Substrates at Low Temperatures (≤250◦C), ECS Solid State Letters, 4, 12, P95-P97, 2015.09.
49. Taizoh Sadoh, Hironori Chikita, Ryo Matsumura, Masanobu Miyao, Ultra-low temperature (≤300 °C) growth of Ge-rich SiGe by solid-liquid-coexisting annealing of a-GeSn/c-Si structures, Journal of Applied Physics, 10.1063/1.4929878, 118, 9, 2015.08, Ultra-low temperature (≤300 °C) growth of Ge-rich SiGe on Si substrates is strongly desired to realize advanced electronic and optical devices, which can be merged onto Si large-scale integrated circuits (LSI). To achieve this, annealing characteristics of a-GeSn/c-Si structures are investigated under wide ranges of the initial Sn concentrations (0%-26%) and annealing conditions (300-1000 °C, 1 s-48 h). Epitaxial growth triggered by SiGe mixing is observed after annealing, where the annealing temperatures necessary for epitaxial growth significantly decrease with increasing initial Sn concentration and/or annealing time. As a result, Ge-rich (∼80%) SiGe layers with Sn concentrations of ∼2% are realized by ultra-low temperature annealing (300 °C, 48 h) for a sample with the initial Sn concentration of 26%. The annealing temperature (300 °C) is in the solid-liquid coexisting temperature region of the phase diagram for Ge-Sn system. From detailed analysis of crystallization characteristics and composition profiles in grown layers, it is suggested that SiGe mixing is generated by a liquid-phase reaction even at ultra-low temperatures far below the melting temperature of a-GeSn. This ultra-low-temperature growth technique of Ge-rich SiGe on Si substrates is expected to be useful to realize next-generation LSI, where various multi-functional devices are integrated on Si substrates..
50. Taizoh Sadoh, Jong Hyeok Park, Rikuta Aoki, Masanobu Miyao, Quasi-single crystal SiGe on insulator by Au-induced crystallization for flexible electronics, 22nd International Workshop on Active-Matrix Flatpanel Displays and Devices, AM-FPD 2015 Proceedings of AM-FPD 2015 - 22nd International Workshop on Active-Matrix Flatpanel Displays and Devices TFT Technologies and FPD Materials, 10.1109/AM-FPD.2015.7173225, 143-146, 2015.07, Low-temperature (≤300°C) formation of orientation-controlled large-grain (≥10 μm) SiGe crystals on insulator is desired for realization of advanced flexible electronics. To achieve this, the Au-induced crystallization technique using a-SiGe/Au stacked structures has been developed. Consequently, (111)-oriented large-grain (>10 μm) SiGe crystals are achieved on insulating substrates at low temperatures (∼300°C). The grown SiGe crystals have uniform composition profiles. This technique will be useful to realize advanced flexible electronics..
51. Taizoh SADOH, Masanobu Miyao, High quality, giant crystalline-Ge stripes on insulating substrate by rapid-thermal-annealing of Sn-doped amorphous-Ge in solid-liquid coexisting region, AIP Advances, 5, 6, 067112-1-067112-7, 2015.06.
52. Ryo Matsumura, Yuki Kai, Hironori Chikita, Taizoh Sadoh, Masanobu Miyao, High quality, giant crystalline-Ge stripes on insulating substrate by rapid-thermal-annealing of Sn-doped amorphous-Ge in solid-liquid coexisting region, AIP Advances, 10.1063/1.4922266, 5, 6, 2015.06, Formation of large-grain (≥30 μm) Ge crystals on insulating substrates is strongly desired to achieve high-speed thin-film transistors. For this purpose, we propose the methods of Sn-doping into amorphous-Ge combined with rapid-thermal-annealing (RTA) in the solid-liquid coexisting temperature region for the Ge-Sn alloy system. The densities of micro-crystal-nuclei formed in this temperature region become low by tuning the RTA temperature close to the liquidus curve, which enhances the lateral growth of GeSn. Thanks to the very small segregation coefficient of Sn, almost all Sn atoms segregate toward edges of the stripes during growth. Agglomeration of GeSn degrades the surface morphologies; however, it is significantly improved by lowering the initial Sn concentration. As a result, pure Ge with large crystal grains (∼40 μm) with smooth surface are obtained by optimizing the initial Sn concentration as low as 3 ∼ 5%. Lateral growth lengths are further increased through decreasing the number of nuclei in stripes by narrowing stripe width. In this way, high-crystallinity giant Ge crystals (∼200 μm) are obtained for the stripe width of 3 μm. This "Si-seed free" technique for formation of large-grain pure Ge crystals is very useful to realize high-performance thin-film devices on insulator..
53. Taizoh Sadoh, J. H. Park, R. Aoki, M. Miyao, Gold-induced low-temperature (≤300°C) growth of quasi-single crystal SiGe on insulator for advanced flexible electronics, Symposium on ULSI Process Integration 9 - 228th ECS Meeting ULSI Process Integration 9, 10.1149/06910.0021ecst, 21-27, 2015.01, A low-temperature (≤300°C) growth technique of quasi-single crystal, i.e., orientation-controlled large-grain (≥10 μm), Ge-related semiconductors, on insulator is desired for realization of advanced flexible electronics. To achieve this, we have developed the gold-induced layer-exchange crystallization technique using a-SiGe/Au stacked structures. By introduction of a diffusion barrier with appropriate thickness into the a-SiGe/Au interface, (111)-oriented quasi-single crystal SiGe is achieved on insulating substrates at low temperatures (∼300°C). Based on these results, this technique is developed to form quasi-single crystal Ge on flexible plastic sheets. The grown layers have high carrier mobility, because residual Au hardly deteriorates the electrical properties of grown layers due to the low solubility of Au in Ge. This technique will facilitate the realization of advanced flexible electronics..
54. K. Moto, R. Matsumura, H. Chikita, Taizoh Sadoh, Hiroshi Ikenoue, M. Miyao, Non-thermal equilibrium formation of Ge1-xSnx (0蠆x蠆0.2) crystals on insulator by pulsed laser annealing, Symposium on Semiconductors, Dielectrics, and Metals for Nanoelectronics 13 - 228th ECS Meeting Semiconductors, Dielectrics, and Metals for Nanoelectronics 13, 10.1149/06905.0297ecst, 297-300, 2015.01, To realize high-speed thin film transistors, we investigated formation of Ge1-xSnx (0蠆x蠆0.2) crystals on quartz by pulsed laser annealing. The process-window necessary for crystallization was found to be significantly expanded by introducing Sn, i.e., 16 mJ/cm2 for Ge, ∼130 mJ/cm2 for GeSn. Moreover, Ge0.8Sn0.2 samples had high substitutional Sn concentration (∼7%) after the growth. These results are expected to be useful to realize highspeed thin film transistors and multi-functional devices..
55. R. Matsumura, K. Moto, Y. Kai, T. Sadoh, H. Ikenoue, M. Miyao, Ultra-low temperature (∼180°C) solid-phase crystallization of GeSn on insulator triggered by laser-anneal seeding, Symposium on Semiconductors, Dielectrics, and Metals for Nanoelectronics 13 - 228th ECS Meeting Semiconductors, Dielectrics, and Metals for Nanoelectronics 13, 10.1149/06905.0301ecst, 301-304, 2015.01, Solid-phase crystallization (SPC) of amorphous-GeSn (a-GeSn) films on insulating substrates has been developed combining with laser-anneal seeding, to realize next generation thin-film devices. By this technique, we have realized crystallization of GeSn (>10%) at low temperatures (∼180°C), which is applicable to flexible thin-film devices on low cost plastic substrates with low softening temperatures (∼200°C). In addition, the starting point of crystallization can be controlled by seeding, which is a big advantage in circuit designing..
56. Ryo Matsumura, Masaya Sasaki, Hironori Chikita, Taizoh Sadoh, Masanobu Miyao, Thickness dependent solid-phase crystallization of amorphous GeSn on insulating substrates at low temperatures (≤250°C), ECS Solid State Letters, 10.1149/2.0021512ssl, 4, 12, P95-P97, 2015, Low-temperature (≤250°C) solid-phase crystallization of amorphous-GeSn films (Sn-concentration: 20%-30%, thickness: 30-100 nm) on insulating-substrates are investigated. As a result, we have realized high growth-rate (∼13 μm/h) for samples (film-thickness: 100 nm, initial Sn-concentration: 30%) at surprisingly low-temperature (150°C), which is very useful to realize flexible thin-film transistors. During this study, we encountered interesting phenomena that growth rates significantly decrease with decreasing filmthickness. In addition, substitutional Sn-concentrations in grown layers increased with decreasing film thickness. These phenomena are attributed to change in bond arrangement processes caused by interface. This technique is expected to facilitate next generation flexible thin-film transistors..
57. Hironori Chikita, Ryo Matsumura, Yuki Kai, Taizoh SADOH, Masanobu Miyao, Ultra-high-speed lateral solid phase crystallization of GeSn on insulator combined with Sn-melting-induced seeding, Applied Physics Letters, doi.org/10.1063/1.4902344, 105, 20, 202112-1-5, 2014.11, Sn溶融シード形成技術とGeSn固相成長技術を融合し、絶縁膜上における大面積GeSn結晶の低温成長を実現。次世代フレキシブル・エレクトロニクスの実現を加速する重要な成果である。.
58. Masahi Kurosawa, Taizoh SADOH, Masanobu Miyao, Comprehensive study of Al-induced layer-exchange growth for orientation-controlled Si crystals on SiO2 substrates, Journal of Applied Physics, doi.org/10.1063/1.4901262, 116, 17, 173510-1-8, 2014.11, アルミニウム誘起成長法を用い、ガラス基板上における結晶方位の制御されたSi多結晶薄膜の低温形成を実現。次世代薄膜デバイスの実現を加速する重要な成果である。.
59. H. Chikita, R. Matsumura, Y. Kai, T. Sadoh, M. Miyao, Ultra-high-speed lateral solid phase crystallization of GeSn on insulator combined with Sn-melting-induced seeding, Applied Physics Letters, 10.1063/1.4902344, 105, 20, 2014.11, To produce high-performance devices on flexible plastic substrates, it is essential to form Ge-based group IV semiconductors on insulating substrates at low temperatures (≤250°C). We have developed a technique for solid phase crystallization of amorphous GeSn (≤220°C) enhanced by Sn doping, and combined with a seeding technique induced by Sn melting (∼250°C). This combination produces lateral crystallization of amorphous GeSn from seed arrays with no incubation time. As a result, extremely high growth velocities at 220°C, depending on Sn concentration, e.g., 0.13μm/h (14% Sn) and 1100μm/h (23% Sn), are achieved. These velocities are 104-108 times higher than that of pure Ge. This technique enables growth of crystalline GeSn island arrays (diameters: 50-150μm) at low temperatures (≤250°C) at controlled positions on insulating substrates..
60. Ryo Matsumura, Ryusuke Kato, Taizoh SADOH, Masanobu Miyao, Large-grain SiGe-on-insulator with uniform Si concentration by segregation-free rapid-melting growth, Applied Physics Letters, doi: 10.1063/1.4895512, 105, 10, 102106 -1-5, 2014.09, 種結晶を用いない溶融成長法を高度化し、絶縁膜上に均一組成を有する大粒径SiGe結晶を実現。Si-LSIと混載可能な高性能SiGeデバイスの基盤技術の創出であり、次世代エレクトロニクスの実現を加速する重要な成果である。.
61. Ryo Matsumura, Ryusuke Kato, Taizoh Sadoh, Masanobu Miyao, Large-grain SiGe-on-insulator with uniform Si concentration by segregation-free rapid-melting growth, Applied Physics Letters, 10.1063/1.4895512, 105, 10, 2014.09, Large-grain SiGe-crystal-on-insulator is essential for fabrication of devices such as advanced thin film transistors and/or photosensors. For these purposes, rapid-melting growth of amorphous SiGe stripes (7%-20% Si concentration) on insulating substrates is investigated over a wide range of cooling rates (from 2 to 17 °C/s). The growth features of SiGe change dynamically, depending on the cooling rate. A low cooling rate produces large crystals with laterally graded Si concentration profiles caused by significant Si segregation during solidification. In contrast, a high cooling rate suppresses the Si segregation, but small grains form because of high spontaneous nucleation under super-cooling conditions. By tuning of the cooling rate, moderate super-cooling conditions are obtained as a function of the Si concentration. This controls both the Si segregation and the spontaneous nucleation, and produces large SiGe crystals (∼400μm length, 7%-20% Si concentration) with three-dimensionally uniform Si profiles..
62. Taizoh SADOH, Masanobu Miyao, Self-Organized Travelling-Zone-Melting Growth of a-Ge/Sn/c-Ge Stacked-Structures for High-Quality GeSn, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 10.1149/2.0011411jss, 3, 10, 340-343, 2014.07.
63. Jong-Hyeok Park, Kenji Kasahara, Kohei Hamaya, Masanobu Miyao, Taizoh SADOH, High carrier mobility in orientation-controlled large-grain (≥50 um) Ge directly formed on flexible plastic by nucleation-controlled gold-induced-crystallization, Applied Physics Letters, http://dx.doi.org/10.1063/1.4885716, 104, 25, 252110 -1-4, 2014.06, フレキシブルなプラスチックシート上に、方位制御された大粒径Ge結晶を実現。電気特性を評価し、高いキャリヤ移動度を実証。プラスチック上に多機能を有する異種デバイスを混載する基盤技術の創出であり、次世代エレクトロニクスの実現を加速する重要な成果である。.
64. Jong Hyeok Park, Kenji Kasahara, Kohei Hamaya, Masanobu Miyao, Taizoh Sadoh, High carrier mobility in orientation-controlled large-grain (>50 μm) Ge directly formed on flexible plastic by nucleation-controlled gold-induced-crystallization, Applied Physics Letters, 10.1063/1.4885716, 104, 25, 2014.06, High-carrier-mobility semiconductors on flexible-plastic are essential to realize flexible electronics, for this purpose, electrical properties of orientation-controlled large-grain Cie crystals on flexible-plastic dircctly formed by nucleation-controlled gold-induced-crystallization (GIC) are examined, and compared with those obtained by aluminum-induced-crystallization (AIC). The Ge crystals show p- Type conductions. Here, hole concentrations are 2.2 x1017 and 5.8 x 1020cm 3 for GIC-Ge and AIC-Ge, respectively, which are explained on the basis of the solubility of Au and AL in Ge. Thanks to the low hole concentration, GIC-Ge shows high hole mobility (160cm2V-1 'S-1') compared with AIC-Ge (37cm2V-1 'S-1'). These demonstrate significant advantage of GIC to realize high-performance flexible-electronics..
65. Taizoh SADOH, Masanobu Miyao, Sn-induced low-temperature (similar to 150 degrees C) crystallization of Ge on insulator, THIN SOLID FILMS, 10.1016/j.tsf.2013.08.123, 557, 155-158, 2014.04.
66. Taizoh SADOH, Masanobu Miyao, Dynamic analysis of rapid-melting growth using SiGe on insulator, THIN SOLID FILMS, 10.1016/j.tsf.2013.08.129, 557, 125-128, 2014.04.
67. Taizoh SADOH, Masanobu Miyao, Coherent lateral-growth of Ge over insulating film by rapid-melting-crystallization, THIN SOLID FILMS, 10.1016/j.tsf.2013.08.127, 557, 135-138, 2014.04.
68. Taizoh SADOH, Masanobu Miyao, In-depth analysis of high-quality Ge-on-insulator structure formed by rapid-melting growth, THIN SOLID FILMS, 10.1016/j.tsf.2013.08.035, 557, 139-142, 2014.04.
69. T. Sadoh, M. Kurosawa, K. Toko, M. Miyao, Coherent lateral-growth of Ge over insulating film by rapid-melting- crystallization, Thin Solid Films, 10.1016/j.tsf.2013.08.127, 557, 135-138, 2014.04, In rapid-melting-crystallization of network Ge-on-insulator (GOI), coalescence of growth-fronts inevitably occurs. To clarify crystallinity of the coalesced regions of two growth-fronts in GOI stripes, scanning electron microscopy and transmission electron microscopy analyses are performed. These analyses reveal that lattice planes of two growth-fronts coherently align without strains for short growth-distance (≤ 5 μm). The lattice planes at growth-fronts start to tilt gradually for growth-distance above 5 μm. For intermediate growth-distance (5-150 μm), slightly-tilting lattice-planes coherently align without generating any defects, where locally-distributed strains are induced in the coalesced regions. On the other hand, for long growth-distance (≥ 150 μm), grain-boundaries are generated in coalesced regions, and the locally-distributed strains are relaxed. The coherent lattice-alignment for growth-distance below 150 μm is attributed to atomic reordering in the coalesced regions, where coalescence occurs at high temperatures around the solidification point of Ge..
70. Ryo Matsumura, Yuki Tojo, Masashi Kurosawa, Taizoh Sadoh, Masanobu Miyao, Dynamic analysis of rapid-melting growth using SiGe on insulator, Thin Solid Films, 10.1016/j.tsf.2013.08.129, 557, 125-128, 2014.04, Dynamics in rapid-melting growth are analyzed by using Si-segregation phenomena in SiGe-on-insulator (SGOI). To clarify growth-stream and growth-velocity, SiGe profiles in SGOI network and stripe structures are investigated. Based on 2-dimensional Si-concentration mapping for SGOI network, visualization of routes of growth fronts becomes possible. In addition, analysis of Si concentration profiles in SGOI stripes enables evaluation of growth velocity. It is clarified that growth velocity increases by 15 times with increasing growth distance for SGOI stripe with 500 μm length. These techniques are useful to understand detailed kinetics in rapid-melting growth..
71. H. Chikita, R. Matsumura, Y. Tojo, H. Yokoyama, T. Sadoh, M. Miyao, In-depth analysis of high-quality Ge-on-insulator structure formed by rapid-melting growth, Thin Solid Films, 10.1016/j.tsf.2013.08.035, 557, 139-142, 2014.04, High-quality Ge-on-insulator (GOI) structures are essential to realize next-generation large-scale integrated circuits, where GOI is employed as active layers of functional devices, as well as buffer layers for epitaxial growth of functional materials. In line with this, in-depth analysis of crystallinity of rapid-melting-grown GOI is performed. Structural and electrical measurements combined with a thinning technique reveal that the crystallinity of GOI (500 nm thickness) is very high and uniform in-depth direction, where high hole mobility (∼ 1000 cm2/V s) is achieved throughout the grown layers. These findings open up a possibility of application of rapid-melting-grown GOI to various advanced functional devices..
72. A. Ooato, T. Suzuki, J. H. Park, M. Miyao, T. Sadoh, Sn-induced low-temperature (∼ 150 °c) crystallization of Ge on insulator, Thin Solid Films, 10.1016/j.tsf.2013.08.123, 557, 155-158, 2014.04, Low-temperature formation (∼ 150 °C) of Ge films on insulator is investigated for realization of advanced flexible devices. We propose utilization of Sn as catalyst to enhance the crystallization at low-temperatures. By annealing (150-200 °C) of a-Ge/Sn stacked structures formed on insulators, the composition distributions of Ge/Sn layers are inverted, and Sn/poly-Ge stacked structures are obtained. The results demonstrate that the crystallization occurs at 150 °C, which is slightly below the eutectic temperatures. This Sn-induced crystallization technique is useful to obtain poly-Ge on low-cost flexible substrates (softening temperature: ∼ 200 °C)..
73. Formation of quasi-single crystal Ge on plastic by Au-induced layer-exchange growth.
74. Formation of quasi-single crystal Ge on plastic by Au-induced layer-exchange growth.
75. Taizoh SADOH, Masanobu Miyao, Giant-Lateral-Growth of SiGe Stripes on Insulating-Substrate by Self-Organized-Seeding and Rapid-Melting-Growth in Solid-Liquid Coexisting Region, ECS SOLID STATE LETTERS, 10.1149/2.003405ssl, 3, 5, P61-P64, 2014.03.
76. Taizoh SADOH, Masanobu Miyao, Low Temperature (~ 300oC) Epitaxial Growth of SiGe by Liquid-Solid Coexisting Annealing of A-GeSn/Si (100) Structure, ECS Transactions, 58, 9, 257-262, 2014.03.
77. Taizoh SADOH, Masanobu Miyao, Formation of Large Grain Ge Single Crystal on Insulating Substrate By Liquid-Solid Coexisting Annealing of a-Ge(Sn), ECS Transactions, 61, 3, 97-100, 2014.03.
78. Taizoh SADOH, The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100), Materials, 7, 1409-1421, 2014.02.
79. Jong Hyeok Park, Masanobu Miyao, Taizoh Sadoh, 111)-oriented large-grain (50 um) Ge crystals directly formed on flexible plastic substrate by gold-induced layer-exchange crystallization, Japanese journal of applied physics, 10.7567/JJAP.53.020302, 53, 2 PART 1, 2014.02, Orientation-controlled large-grain Ge crystals grown on plastic substrates (softening temperature: 300 °C) are desired to realize advanced flexible electronics, where various functional devices are integrated on flexible substrates. To achieve this, gold-induced crystallization (annealing temperature: 250 °C) using a-Ge/Au stacked structures is developed on plastic substrates, where thin-Al2O3 layers (thickness: 7 nm) are introduced at a-Ge/Au interfaces. Interestingly, (111)-oriented nucleation at the Au/plastic interface dominates over random bulk nucleation in Au layers. As a result, the formation of (111)-oriented large-grain (;50 um) Ge crystals directly on flexible plastic substrates is realized. This technique will pave the way for advanced flexible electronics..
80. Jong-Hyeok Park, Masanobu Miyao, Taizoh SADOH, (111)-oriented large-grain (≧50 μm) Ge crystals directly formed on flexible plastic substrate by gold-induced layer-exchange crystallization, Japanese Journal of Applied Physics, 53, 2, 020302-1-3, 2014.01, 不定型なプラスチック基板上における方位制御された大粒径Ge結晶の低温成長を実現。フレキシブルなプラスチック上に多機能を有する異種デバイスを混載する基盤技術の創出であり、次世代エレクトロニクスの実現を加速する重要な成果である。.
81. Masashi Kurosawa, Taizoh Sadoh, Masanobu Miyao, Comprehensive study of Al-induced layer-exchange growth for orientation-controlled Si crystals on SiO
2
substrates, Journal of Applied Physics, 10.1063/1.4901262, 116, 17, 2014.01, Orientation-controlled crystalline Si films on insulating substrates are strongly required to achieve high-performance thin-film devices for next-generation electronics. We have comprehensively investigated the layer-exchange kinetics of Al-induced crystallization (AIC) in stacked structures, i.e., amorphous-Si/Al-oxide/Al/SiO
2
-substrates, as a function of the air-exposure time of Al surfaces (t
air
: 0-24 h) to form Al-oxide interface-layers, the thickness of Al and Si layers (d
Al
, d
Si
: 50-200 nm), the annealing temperature (450-500°C), and the annealing time (0-50 h). It has been clarified that longer t
air
(>60 min) and/or thinner d
Al
and d
Si
( air
( Al
and d
Si
(>100 nm) lead to the (100) oriented growth. No correlation between the annealing temperature and the crystal orientation is observed. Detailed analysis reveals that the layer-exchange kinetics are dominated by "supply-limited" processing, i.e., diffusion of Si atoms into Al layers through Al-oxide layer. Based on the growth rate dependent Si concentration profiles in Al layers, and the free-energy of Si at Al-oxide/Al or Al/SiO
2
interfaces, a comprehensive model for layer-exchange growth is proposed. This well explains the experimental results of not only Si-AIC but also another material system such as gold-induced crystallization of Ge. In this way, a growth technique achieving the orientation-controlled Si crystals on insulating substrates is established from both technological and scientific points of view..
82. Hidenori Higashi, Yuichi Fujita, Makoto Kawano, Junya Hirayama, Shinya Yamada, Jong Hyeok Park, Taizoh Sadoh, Masanobu Miyao, Kohei Hamaya, Effect of Sn-doped Ge insertion layers on epitaxial growth of ferromagnetic Fe3Si films on a flexible substrate, 7th International Silicon-Germanium Technology and Device Meeting, ISTDM 2014 2014 7th International Silicon-Germanium Technology and Device Meeting, ISTDM 2014, 10.1109/ISTDM.2014.6874683, 59-60, 2014.01, By an insertion of a Ge(Sn) layer and its CMP treatments, we have improved the crystalline and magnetic characteristics of one of the Heusler-compounds, Fe3Si, on (111)-oriented Ge on a flexible substrate. This work is a first step of high-performance flexible spintronics for flexible system-in-display devices..
83. Ryo Matsumura, Hironori Chikita, Taizoh Sadoh, M. Miyao, Formation of giant SiGe crystals on insulator by self-organized-seeding rapid-melting growth, 2013 2nd International Symposium on Quantum, Nano and Micro Technologies, ISQNM 2013 Quantum, Nano, Micro Technologies and Applied Researches, 10.4028/www.scientific.net/AMM.481.27, 27-29, 2014.01, Rapid-melting growth of SiGe stripes on insulator without crystal-seed has been investigated. After rapid-thermal annealing (RTA) of amorphous SiGe stripes (~5 μm) at a temperature between melting-point and solidification-point, SiGe crystals with large lateral sizes (~20 μm) are formed. The Si concentrations in the grains show peaks at the center of the grains and gradually decrease toward the grain boundaries. These phenomena are explained based on the self-organized formation of Si-rich micro-crystals and subsequent Si-segregating lateral-growth during RTA..
84. R. Matsumura, Y. Kai, H. Chikita, Taizoh Sadoh, M. Miyao, Formation of large grain Ge single crystal on insulating substrate by liquid-solid coexisting annealing of a-Ge(Sn), International Symposium on Silicon Compatible Materials, Processes, and Technologies for Advanced Integrated Circuits and Emerging Applications 4 - 225th ECS Meeting ECS Transactions, 10.1149/06103.0097ecst, 61, 3, 97-100, 2014.01, To realize next generation thin-film-transistors (TFTs), seedless rapidmelting growth of Ge on insulator is investigated. By rapid-thermal annealing (RTA) of amorphous GeSn (a-GeSn) layers on insulating substrates at a temperature between the solidification point and the melting point, GeSn layers melt incompletely, which generates a limited number of solid nuclei as residue. Once cooling starts, liquid-phase epitaxial growth occurs from these nuclei, which results in growth of large-grain crystals. Since segregation coefficient of Sn in Ge is very small (∼0.02), almost all Sn atoms segregate at edges of the grown regions. As a result, almost Snfree (≤1%) large Ge crystal grains are achieved on insulating substrates by a self-organizing process..
85. Jong Hyeok Park, Masanobu Miyao, Taizoh Sadoh, Formation of quasi-single-crystal Ge on plastic by nucleation-controlled Au-induced layer-exchange growth for flexible electronics, 21st International Workshop on Active-Matrix Flatpanel Displays and Devices: TFT Technologies and FPD Materials, AM-FPD 2014 Proceedings of AM-FPD 2014 - The 21st International Workshop on Active-Matrix Flatpanel Displays and Devices TFT Technologies and FPD Materials, 10.1109/AM-FPD.2014.6867200, 291-294, 2014.01, A low-temperature (≤250oC) formation technique of orientation-controlled large-grain Ge thin films on insulator is desirable for realization of advanced flexible electronics. To achieve this, the Au-induced crystallization technique combined with inter-diffusion-control and interface-energy-modulation techniques has been investigated. Consequently, selective formation of (111)-or (100)-oriented large-grain (>20 μm) Ge crystals on insulator have been realized. Moreover, formation of (111)-oriented large-grain Ge crystals directly on plastic substrates is demonstrated. This technique facilitates realization of future flexible electronics..
86. Ryo Matsumura, Ryusuke Kato, Yuki Tojo, Masashi Kurosawa, Taizoh Sadoh, Masanobu Miyao, Giant-lateral-growth of SiGe stripes on insulating-substrate by self-organized-seeding and rapid-melting-growth in solid-liquid coexisting region, ECS Solid State Letters, 10.1149/2.003405ssl, 3, 5, P61-P64, 2014.01, Formation of SiGe crystals with large-grain on insulating-substrates is desired to achieve high-speed thin-film-transistors (TFT). We examine rapid-thermal annealing (950-1100°C) of a-Si0.15Ge0.85-stripe (2-15-μm-stripe-width) on insulator structures in solid-liquid-coexisting temperature-region. Formation of Si-rich micro-crystal-nuclei during annealing is clearly evidenced, where Si concentration and areal-density of micro-crystals are uniquely determined by annealing-temperature. Such self-organized-seeding enables lateral rapid-melting-growth from micro-crystals during cooling. The micro-crystals density per-unit-length is proportional to stripe-width, which results in enlargement of lateral-growth-length by narrowing stripe-width. Consequently, giant-lateral-growth of SiGe grains (∼300-μm-length, 0-40%-Si-concentration) are achieved for narrow-stripe-width of 2 μm at 1050°C. This technique facilitates advanced TFT for high-performance system-in-displays..
87. Hironori Chikita, Ryo Matsumura, Taizoh Sadoh, M. Miyao, Low temperature (~300°C) epitaxial growth of SiGe by liquid-solid coexisting annealing of A-GeSn/Si(100) structure, 2013 2nd International Symposium on Quantum, Nano and Micro Technologies, ISQNM 2013 Quantum, Nano, Micro Technologies and Applied Researches, 10.4028/www.scientific.net/AMM.481.137, 137-140, 2014.01, To develop a new low-temperature crystallization technique, annealing characteristics of a-GeSn/Si(100) structures are investigated. It is revealed that epitaxial growth accompanying Si-Ge mixing is generated at temperatures in the liquid-solid coexisting region of the Ge-Sn system. The annealing temperature necessary for epitaxial growth is significantly decreased by increasing annealing time and/or Sn concentration. Consequently, epitaxial growth at 300°C becomes possible. These findings are expected to be useful to realize next-generation large-scale integrated circuits, where various multi-functional devices are integrated..
88. T. Sadoh, J. H. Park, M. Kurosawa, M. Miyao, Ultralow-temperature catalyst-induced-crystallization of SiGe on plastic for flexible electronics, 7th International Silicon-Germanium Technology and Device Meeting, ISTDM 2014 2014 7th International Silicon-Germanium Technology and Device Meeting, ISTDM 2014, 10.1109/ISTDM.2014.6874633, 47-48, 2014.01, Development of a low-temperature (≤250°C) formation technique of orientation-controlled large-grain (
89. Ryo Matsumura, Yuki Kinoshita, Yuki Tojo, Taizoh Sadoh, Tomoaki Nishimura, Masanobu Miyao, Self-organized travelling-zone-melting growth of a-Ge/Sn/c-Ge stacked-structures for high-quality GeSn, ECS Journal of Solid State Science and Technology, 10.1149/2.0011411jss, 3, 10, P340-P343, 2014, Low-temperature annealing (450-700°C) of a-Ge/Sn/c-Ge stacked-structures is examined to achieve high-quality GeSn singlecrystals on Ge substrates. Abnormal phenomena, where a GeSn-layer travels toward the surface during annealing, were found. In-depth analyses of Sn distribution together with epitaxial-growth clarify the mechanisms, i.e., diffusion of Ge atoms from a-Ge into molten-Sn, and subsequent release of GeSn atoms into c-Ge substrates cause the traveling-zone-melting-growth in self-organizingmanner. Transmission- electron-microscopy observation reveals the defect-free GeSn layers on Ge substrates. High thermal-stability of non-equilibrium Sn concentration in GeSn is guaranteed by post-annealing (~600°C) experiments. This method provides the unique tool to achieve multi-functional-devices based on GeSn-related hetero-structures..
90. Mastura Shafinaz Zainal Abidin, Tahsin Morshed, Hironori Chikita, Yuki Kinoshita, Shunpei Muta, Mohammad Anisuzzaman, Jong Hyeok Park, Ryo Matsumura, Mohamad Rusop Mahmood, Taizoh Sadoh, Abdul Manaf Hashim, The effects of annealing temperatures on composition and strain in SixGe1-x obtained by melting growth of electrodeposited Ge on Si (100), Materials, 10.3390/ma7021409, 7, 2, 1409-1421, 2014, The effects of annealing temperatures on composition and strain in SixGe1-x, obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm-1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1-x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance..
91. Taizoh SADOH, Masanobu Miyao, Atomically-Coherent-Coalescence of Two Growth-Fronts in Ge Stripes on Insulator by Rapid-Melting Lateral-Crystallization, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 10.1149/2.005303jss, 2, 3, 54-57, 2013.12.
92. Taizoh SADOH, Crystallization of Electrodeposited Germanium Thin Film on Silicon (100), Materials, 6, 5047-5057, 2013.11.
93. Masashi Kurosawa, Kaoru Toko, Taizoh Sadoh, Ichiro Mizushim, Masanobu Miyao, Atomically-coherent-coalescence of two growth-fronts in Ge stripes on insulator by rapid-melting lateral-crystallization, ECS Journal of Solid State Science and Technology, 10.1149/2.005303jss, 2, 3, 2013.11, High-quality Ge-on-insulator (GOI) is a key structure for integrating high-speed transistors and optical- and spintronic-devices on Si-platform. Effects of coalescence of two growth-fronts on crystallinity of GOI-stripes during rapid-melting lateral-crystallization are investigated as a function of growth-distance. For long growth-distance (≥150 μm), grain-boundaries are generated in coalesced regions due to tilting growth-fronts (1-3°). On the other hand, for short distance (≤5 μm), lattice-structures coherently align without strains. Moreover, for intermediate distance (5-150 μm), lattice-structures of growth-fronts coherently align without any defects, though heterogeneous lattice-strains are locally induced due to slightly tilting growth-fronts (∼0.5°). Such atomically-coherentcoalescence for growth-distance
94. Jong-Hyeok Park, Tsuneharu Suzuki, Masashi Kurosawa, Masanobu Miyao, Taizoh SADOH, Nucleation-controlled gold-induced-crystallization for selective formation of Ge(100) and (111) on insulator at low-temperature (~250°C), Applied Physics Letters, http://dx.doi.org/10.1063/1.4819015 , 103, 8, 082102-1-4, 2013.08, 不定型な絶縁基板上における方位制御された大粒径Ge結晶の低温成長を実現。プラスチック上に多機能を有する異種デバイスを混載する基盤技術の創出であり、次世代エレクトロニクスの実現を加速する重要な成果である。.
95. Jong Hyeok Park, Tsuneharu Suzuki, Masashi Kurosawa, Masanobu Miyao, Taizoh Sadoh, Nucleation-controlled gold-induced-crystallization for selective formation of Ge(100) and (111) on insulator at low-temperature (∼250 °c), Applied Physics Letters, 10.1063/1.4819015, 103, 8, 2013.08, Selective formation of Ge(100) and (111) on amorphous-insulator at low-temperatures (∼250 °C) is realized through gold-induced- crystallization using a-Ge/Au/SiO2 stacked-structures by combining interface-energy-modulation of substrates. Introduction of thin-Al 2O3 layers (∼7 nm thickness) at a-Ge/Au interfaces enables large-grain (≥20 μm) Ge(111) formation, which is speculated to be due to suppression of random bulk-nucleation and domination of (111)-oriented interface-nucleation on SiO2. To examine this speculation, Al 2O3-covered substrates are employed. This results in formation of Ge(100), due to energetically favorable (100)-oriented interface-nucleation on Al2O3. Consequently, large-grain (≥20 μm) Ge(100) and (111) are achieved on amorphous-insulators at 250 °C. This technique is very useful to realize flexible-electronics..
96. Mohammad Anisuzzaman, Shunpei Muta, Abdul Manaf Hashim, Taizoh Sadoh, Effects of pattern dimensions on stabilization of crystal orientation for (111) ge-on-insulator in rapid melting growth, Research Reports on Information Science and Electrical Engineering of Kyushu University, 18, 2, 69-74, 2013.07, (111)-oriented Ge-on-insulator (GOI) is the key material structure for next generation multifunctional large scale integrated circuits. The (111) GOI structure can be implemented for high-speed transistor channels, as well as templates for the integration of optoelectronic and spintronic materials on the Si platform. The rapid melting growth technique is an effective way to obtain high-quality GOI structures on Si substrates. However, in formation of GOI strips (width: ∼3 μm, thickness: 100 nm) from Si(111) seed, rotation of crystal orientation occurs along growth direction. In this study, we investigate the effects of GOI pattern-dimensions on orientation stability and demonstrate the suppression of crystal rotation by narrowing the strip width. This enables the formation of (111) GOI strips with any growth direction..
97. Ryo Matsumura, Mohammad Anisuzzaman, Hiroyuki Yokoyama, Taizoh Sadoh, Masanobu Miyao, Laterally-graded doping into ge-on-insulator by combination of ion-implantation and rapid-melting growth, ECS Solid State Letters, 10.1149/2.002307ssl, 2, 7, 2013.07, Ge-on-insulator (GOI) having laterally-graded doping-profiles is essential to formation of functional-devices such as high-efficiency thermo-electric devices. To achieve this, rapid-melting growth of a-Ge strips implanted with P ions is investigated under a dose range of 1 × 1012-2 × 1015 cm-2. For dose over 1 × 1014 cm-2, grown-Ge layers show n-type conduction. Moreover, for dose of 2 × 1015 cm-2, a laterally-graded doping-profile (∼8 × 1015 cm-3/μm) is achieved in the grown region (growth-distance: 0-300 μm) by P segregation during the melt-back process. The graded doping-profile generates electric-fields of -0.6 V/cm, which can be increased by decreasing strip-length. This technique is expected to facilitate integration of functional-devices on Si-platform..
98. Mohammad Anisuzzaman, Shunpei Muta, Masanao Takahashi, Abdul Manaf Hashim, Taizoh Sadoh, Orientation-control of ge-stripes-on-insulator by narrowing in rapid-melting growth from SI(111) seed, ECS Solid State Letters, 10.1149/2.008309ssl, 2, 9, P76-P78, 2013.07, Orientation-controlled (111)Ge-on-insulator (GOI) is essential to achieve high-carrier-mobility channel of transistors and epitaxialtemplate of functional materials. To achieve (111)-oriented GOI stripes with any stripe-directions by rapid-melting growth from (111)-oriented Si-seed, effects of stripe-width on crystal-orientations of grown layers are investigated. For ∼2-μm-stripe- width, (111)-oriented GOI stripes are achieved for growth direction along (011). However, crystal-rotation is observed for (112) direction. Such rotational-growth along (112) is suppressed by decreasing stripe-width. Consequently, (111)-oriented GOI with any stripedirections are obtained for ∼0.5-μm-stripe-width, which is attributed to strain-relaxation by narrowing. This orientation-control technique facilitates next-generation large-scale-integrated-circuits, where various functional devices are integrated on Si-platform..
99. Taizoh SADOH, Orientation-Control of Ge-Stripes-on-Insulator by Narrowing in Rapid-Melting Growth from Si(111) Seed, ECS SOLID STATE LETTERS, 10.1149/2.008309ssl, 2, 9, P76-P78, 2013.06.
100. Akira Heya, Kazuhiro Kanda, Kaoru Toko, Taizoh Sadoh, Sho Amano, Naoto Matsuo, Shuji Miyamoto, Masanobu Miyao, Takayasu Mochizuki, Low-temperature crystallization of amorphous silicon and amorphous germanium by soft X-ray irradiation, Thin Solid Films, 10.1016/j.tsf.2013.02.129, 534, 334-340, 2013.05, The low-temperature-crystallization effects of soft X-ray irradiation on the structural properties of amorphous Si and amorphous Ge films were investigated. From the differences in crystallization between Si and Ge, it was found that the effects of soft X-ray irradiation on the crystallization strongly depended on the energy band gap and energy level. The crystallization temperatures of the amorphous Si and amorphous Ge films decreased from 953 K to 853 K and 773 K to 663 K, respectively. The decrease in crystallization temperature was also related to atoms transitioning into a quasi-nucleic phase in the films. The ratio of electron excitation and migration effects to thermal effects was controlled using the storage-ring current (photon flux density). Therefore, we believe that low-temperature crystallization can be realized by controlling atomic migration through electron excitation..
101. Taizoh SADOH, Masanobu Miyao, Laterally-Graded Doping into Ge-on-Insulator by Combination of Ion-Implantation and Rapid-Melting Growth, ECS SOLID STATE LETTERS, 10.1149/2.002307ssl, 2, 7, P58-P60, 2013.04.
102. Ryo Matsumura, Taizoh Sadoh, Masanobu Miyao, Formation of laterally-graded Ge based hetero-structure, IEICE technical report, 113, 17, 17-23, 2013.04, Laterally-graded SiGe-on-insulator is the key-structure for next-generation Si-technology, which enables advanced device-arrays with various energy-band-gaps as well as 2-dimensional integration of functional-materials with various lattice-constants. Segregation kinetics in rapid-melting growth of SiGe stripes are investigated in wide ranges of stripe-lengths (10-500μm) and cooling-rates (10-19℃/s). Universal laterally-graded SiGe-profiles obeying Scheil-equation are obtained for all samples with low cooling-rate (10℃/s), which enables robust designing of lateral-SiGe-profiles. For samples with high cooling-rates and long stripe-lengths, anomalous two-step-falling profiles are obtained. Dynamical analysis considering the growth-rate-effects enables comprehensive understanding of such phenomena. This provides the unique tool to achieve modulated lateral-SiGe-profiles beyond Scheil-equation..
103. Ryo Matsumura, Taizoh Sadoh, Masanobu Miyao, Formation of laterally-graded Ge based hetero-structure, IEICE technical report, 113, 18, 17-23, 2013.04, Laterally-graded SiGe-on-insulator is the key-structure for next-generation Si-technology, which enables advanced device-arrays with various energy-band-gaps as well as 2-dimensional integration of functional-materials with various lattice-constants. Segregation kinetics in rapid-melting growth of SiGe stripes are investigated in wide ranges of stripe-lengths (10-500μm) and cooling-rates (10-19℃/s). Universal laterally-graded SiGe-profiles obeying Scheil-equation are obtained for all samples with low cooling-rate (10℃/s), which enables robust designing of lateral-SiGe-profiles. For samples with high cooling-rates and long stripe-lengths, anomalous two-step-falling profiles are obtained. Dynamical analysis considering the growth-rate-effects enables comprehensive understanding of such phenomena. This provides the unique tool to achieve modulated lateral-SiGe-profiles beyond Scheil-equation..
104. Yuki Tojo, Ryo Matsumura, Hiroyuki YOKOYAMA, Masashi Kurosawa, Kaoru TOKO, Taizoh SADOH, M. Miyao, High-quality formation of multiply stacked SiGe-on-insulator structures by temperature -modulated successive rapid-melting-growth, Applied Physics Letters, 102, 9, 092102-1-4, 2013.03, 絶縁膜上における横方向組成傾斜型SiGe薄膜の積層構造の高品位形成を実現。Siプラットフォーム上に多機能を有する異種デバイスを混載する基盤技術の創出であり、次世代LSIの実現を加速する重要な成果である。.
105. Yuki Tojo, Ryo Matsumura, Hiroyuki Yokoyama, Masashi Kurosawa, Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, High-quality formation of multiply stacked SiGe-on-insulator structures by temperature-modulated successive rapid-melting-growth, Applied Physics Letters, 10.1063/1.4794409, 102, 9, 2013.03, Laterally and vertically modulated SiGe-on-insulator (SGOI) structures are essential to integrate functional device-arrays with various energy-band-gaps and/or lattice-constants. We develop the temperature-modulated successive rapid-melting-growth (RMG) method, where Si-concentration dependent RMG processing is combined with non-destructive crystallinity-analysis. First, SGOI is formed by segregation-controlled RMG of SiGe by using Si-substrate as crystalline-seed. Polarized-Raman-scattering measurements non-destructively reveal the lateral-epitaxial-growth of SGOI with graded SiGe-concentration profiles. Second, Ge-on-insulator (GOI) is stacked on SGOI by using SGOI as crystalline-seed, where RMG temperature is selected between the melting-points of Ge and underlying SGOI. This achieves defect-free, multiply-stacked GOI on graded-SGOI structure, which demonstrates 3-dimensionally modulated SiGe-concentration profiles on Si-platform..
106. Y. Kinoshita, R. Matsumura, T. Sadoh, T. Nishimura, M. Miyao, High-quality hybrid-GeSn/Ge stacked-structures by low-temperature Sn induced-melting growth, ECS Transactions, 10.1149/05809.0179ecst, 58, 9, 179-184, 2013.01, GeSn alloys are attractive materials, with a potentially major impact on next-generation device applications due to the superior electrical properties and transition to direct band gap. We investigate growth of GeSn by annealing of a-Ge/Sn/c-Ge stacked structures. This achieves liquid-phase epitaxial growth of defectfree GeSn with non-equilibrium Sn concentration (∼2%) on Ge substrates by a low-temperature annealing (∼600°C). The nonequilibrium Sn concentration in this GeSn layers is guaranteed thermal stability for post-annealing at 600°C for 30 min..
107. H. Chikita, R. Matsumura, Taizoh Sadoh, M. Miyao, Liquid-solid coexisting annealing of a-GeSn/Si(100) structure for low temperature epitaxial growth of SiGe, ECS Transactions, 10.1149/05809.0257ecst, 58, 9, 257-262, 2013.01, To develop a new low-temperature crystallization technique, annealing characteristics of a-GeSn/Si(100) structures are investigated. It is revealed that epitaxial growth accompanying Si-Ge mixing is generated at temperatures in the liquid-solid coexisting region of the Ge-Sn system. The annealing temperature necessary for epitaxial growth is significantly decreased by increasing annealing time and/or Sn concentration. Consequently, epitaxial growth at 300°C becomes possible. These findings are expected to be useful to realize next-generation large-scale integrated circuits, where various multi-functional devices are integrated..
108. T. Sadoh, J. H. Park, M. Kurosawa, M. Miyao, Low-temperature metal-induced crystallization of orientation-controlled SiGe on insulator for flexible electronics, ECS Transactions, 10.1149/05809.0213ecst, 58, 9, 213-221, 2013.01, Low-temperature (≤250°C) formation of orientation-controlled large-grain (>10 μm) poly-SiGe on amorphous insulator is essential to realize flexible electronics. In line with this, metalinduced crystallization of SiGe is investigated. By employing Au and Sn as catalysts, SiGe crystallization at 250°C and 150°C, respectively, becomes possible. Moreover, a technique for formation of orientation-controlled large-grain crystals is examined by modulation of nucleation in gold-induced crystallization. This achieves selectively (100)-or (111)-oriented large-grain (≥20 μm) crystals on amorphous insulators. This technique is expected to facilitate integration of advanced functional devices onto flexible substrates..
109. Mohammad Anisuzzaman, Shunpei Muta, Abdul Manaf Hashim, Taizoh Sadoh, Orientation stabilized rapid melting growth of thin (100) ge-on-insulator structures and their implementation in Homoepitaxial growth, Research Reports on Information Science and Electrical Engineering of Kyushu University, 18, 2, 63-67, 2013.01, Integration of Ge on the Si platforms is essential for the development of next generation large-scale integrated circuits. The Ge-on-insulator (GOI) structure is suited for the realization of high-mobility transistors channels and as epitaxial templates for optoelectronic and spintronic materials. In this work, the fabrication of thin (∼50 nm) (100) GOI by the rapid melting growth process has been investigated. Growth with unstable crystal orientation has been observed in wide (≥1 μm) GOI strips. However, orientation stabilized growth was achieved in narrow strips (∼0.5 μm). Further stabilization of growth orientation was observed in mesh patterned growth with GOI width of 1 μm. Epitaxial growth of Ge was performed on the above structures and the formation of uniform epitaxial layer was demonstrated..
110. Mastura Shafinaz Zainal Abidin, Ryo Matsumura, Mohammad Anisuzzaman, Jong Hyeok Park, Shunpei Muta, Mohamad Rusop Mahmood, Taizoh Sadoh, Abdul Manaf Hashim, Crystallization of electrodeposited germanium thin film on silicon (100), Materials, 10.3390/ma6115047, 6, 11, 5047-5057, 2013, We report the crystallization of electrodeposited germanium (Ge) thin films on n-silicon (Si) (100) by rapid melting process. The electrodeposition was carried out in germanium (IV) chloride: propylene glycol (GeCl4:C3H8O2) electrolyte with constant current of 50 mA for 30 min. The measured Raman spectra and electron backscattering diffraction (EBSD) images show that the as-deposited Ge thin film was amorphous. The crystallization of deposited Ge was achieved by rapid thermal annealing (RTA) at 980 °C for 1 s. The EBSD images confirm that the orientations of the annealed Ge are similar to that of the Si substrate. The highly intense peak of Raman spectra at 300 cm-1 corresponding to Ge-Ge vibration mode was observed, indicating good crystal quality of Ge. An additional sub peak near to 390 cm-1 corresponding to the Si-Ge vibration mode was also observed, indicating the Ge-Si mixing at Ge/Si interface. Auger electron spectroscopy (AES) reveals that the intermixing depth was around 60 nm. The calculated Si fraction from Raman spectra was found to be in good agreement with the value estimated from Ge-Si equilibrium phase diagram. The proposed technique is expected to be an effective way to crystallize Ge films for various device applications as well as to create strain at the Ge-Si interface for enhancement of mobility..
111. Ichiro Mizushima, Taizoh Sadoh, Masanobu Miyao, Formation of Ge-on-Insulator Structures on Si platform by SiGe-mixing-triggered rapid-melting growth using RTA technique, 2013 13th International Workshop on Junction Technology, IWJT 2013 Extended Abstracts of the 13th International Workshop on Junction Technology 2013, IWJT 2013, 10.1109/IWJT.2013.6644498, 30-31, 2013.
112. Masashi Kurosawa, Naoyuki Kawabata, Taizoh Sadoh, Masanobu Miyao, Enhanced interfacial-nucleation in al-induced crystallization for (111) Oriented Si1-xGex (0 ≤ x ≤ 1) films on insulating substrates, ECS Journal of Solid State Science and Technology, 10.1149/2.010203jss, 1, 3, P144-P147, 2012.12, Orientation-controlled Si1-xGex (0≤ x≤1) films on insulating substrates are essential to achieve high-efficiency solar-cells and highspeed thin-film-transistors. We investigate Al-induced crystallization of amorphous-Si1-xGex/Al-oxide/Al/quartz stacked-structures as a function of Ge-fraction, air-exposure time of Al surfaces, and thicknesses of Al and SiGe films. By tuning interfacial Al-oxide layer thickness, which is controlled by air-exposure time, (100) or (111) Si1-xGex (x 1-xGe x (x ≥ 0.2) with random-orientations. To enhance interfacial-nucleation at Al/quartz over bulk-nucleation, film-thickness of Al and SiGe are thinned to 50 nm. This achieves (111)-oriented Si 1-xGex films with the whole Ge fractions. This oriented-growth can be explained by the theoretical calculation that (111) SiGe has the minimum surface energy on quartz substrates..
113. R. Matsumura, Y. Tojo, H. Yokoyama, M. Kurosawa, Taizoh Sadoh, M. Miyao, Formation of graded SiGe on insulator by segregation-controlled rapid-melting-growth, 5th SiGe, Ge, and Related Compounds: Materials, Processing and Devices Symposium - 220th ECS Meeting SiGe, Ge, and Related Compounds 5 Materials, Processing, and Devices, 10.1149/05009.0747ecst, 747-751, 2012.12, Formation of laterally-graded single-crystal SiGe-on-insulator structures by rapid-melting-growth of a-SiGe is investigated. From micro-probe Raman scattering spectroscopy measurements, it is revealed that the Si concentration profiles in grown SiGe layers are significantly affected by the growth conditions and sample sizes. Based on the systematical analysis of the phenomena, effects of the growth rate on Si segregation kinetics in rapid-melting growth are clarified. These findings are expected to be useful to obtain epitaxial templates with laterally-variable lattice constants for 2-dimensional integration of various functional devices on an Si-platform..
114. R. Kato, M. Kurosawa, R. Matsumura, Y. Tojo, T. Sadoh, M. Miyao, Formation of large grain SiGe on insulator by Si segregation in seedless-rapid-melting process, 5th SiGe, Ge, and Related Compounds: Materials, Processing and Devices Symposium - 220th ECS Meeting SiGe, Ge, and Related Compounds 5 Materials, Processing, and Devices, 10.1149/05009.0431ecst, 431-436, 2012.12, Rapid-melting growth of SiGe stripes on insulator without any crystal-seed has been investigated. After rapid-thermal annealing (RTA) of amorphous SiGe stripes (~5 μm) at a temperature between melting-point and solidification-point, SiGe crystals with large lateral sizes (~20 μm) are formed. The Si concentrations in the grains show peaks at the center of the grains and gradually decrease toward the grain boundaries. These phenomena are explained based on the formation of Si-rich micro-crystals and subsequent Si-segregating lateral-growth during RTA..
115. Ryo Matsumura, Yuki Tojo, Masashi Kurosawa, Taizoh Sadoh, Ichiro Mizushima, Masanobu Miyao, Growth-rate-dependent laterally graded SiGe profiles on insulator by cooling-rate controlled rapid-melting-growth, Applied Physics Letters, 10.1063/1.4769998, 101, 24, 2012.12, Laterally graded SiGe-on-insulator is the key-structure for next-generation Si-technology, which enables advanced device-arrays with various energy-band-gaps as well as 2-dimensional integration of functional-materials with various lattice-constants. Segregation kinetics in rapid-melting growth of SiGe stripes are investigated in wide ranges of stripe-lengths (10-500μm) and cooling-rates (10-19°C/s). Universal laterally graded SiGe-profiles obeying Scheil-equation are obtained for all samples with low cooling-rate (10°C/s), which enables robust designing of lateral-SiGe-profiles. For samples with high cooling-rates and long stripe-lengths, anomalous two-step-falling profiles are obtained. Dynamical analysis considering the growth-rate-effects enables comprehensive understanding of such phenomena. This provides the unique tool to achieve modulated lateral-SiGe-profiles beyond Scheil equation..
116. M. Miyao, M. Kurosawa, K. Toko, Y. Tojo, Taizoh Sadoh, Hybrid-formation of Ge-on-insulator structures on Si platform by SiGe-mixing-triggered rapid-melting growth - A road to artificial crystal, 12th High Purity Silicon Symposium - 222nd ECS Meeting High Purity Silicon 12, 10.1149/05005.0059ecst, 50, 59-70, 2012.12, Single-crystal Ge layers on insulating films (GOI) are desired to achieve advanced 3-dimensional large-scale integrated circuits and high-performance thin-film transistors. We have developed the rapid-melting Ge growth seeded from Si substrates, which achieves chip-size (∼cm length) GOI structures with (100), (110), and (111) orientations. Driving force to initiate the lateral growth over insulating films is clarified as the solidification temperature gradient originating from melting-induced Si-Ge mixing. Combination with the artificial Si micro-seed techniques and the rapid melting growth enables the formation of hybrid-orientation GOI arrays, i.e., (100), (110), and (111) stripes, on (100) Si platform. High hole mobility (∼1000 cm2/Vs) in hybrid-orientation GOI is also demonstrated..
117. Ryo Matsumura, Yuki Tojo, Masashi Kurosawa, Taizoh SADOH, I. Mizushima, M. Miyao, Growth-rate-dependent laterally graded SiGe profiles on insulator by cooling-rate controlled rapid-melting-growth, Applied Physics Letters, 101, 24, 241904-1-5, 2012.10, 液相成長法による絶縁膜上における横方向組成傾斜型SiGe薄膜の高品位形成を実現。Siプラットフォーム上に多機能を有する異種デバイスを混載する基盤技術の創出であり、次世代LSIの実現を加速する重要な成果である。.
118. Taizoh SADOH, Masanobu Miyao, Formation of Graded SiGe on Insulator by Segregation-Controlled Rapid-Melting-Growth, SIGE, GE, AND RELATED COMPOUNDS 5: MATERIALS, PROCESSING, AND DEVICES, 10.1149/05009.0747ecst, 50, 9, 547-751, 2012.10.
119. Taizoh SADOH, Masanobu Miyao, Formation of Large Grain SiGe on Insulator by Si Segregation in Seedless-Rapid-Melting Process, SIGE, GE, AND RELATED COMPOUNDS 5: MATERIALS, PROCESSING, AND DEVICES, 10.1149/05009.0431ecst, 50, 9, 431-436, 2012.10.
120. Masanobu Miyao, Taizoh SADOH, Hybrid-Formation of Ge-on-Insulator Structures on Si Platform by SiGe-Mixing-Triggered Rapid-Melting Growth - A Road to Artificial Crystal -, HIGH PURITY SILICON 12, 10.1149/05005.0059ecst, 50, 5, 59-70, 2012.10.
121. Jong Hyeok Park, Tsuneharu Suzuki, Masashi Kurosawa, Masanobu Miyao, Taizoh Sadoh, (111)-Oriented large-grain Ge on insulator by gold-induced crystallization combined with interfacial layer insertion, 19th International Workshop on Active-Matrix Flatpanel Displays and Devices - TFT Technologies and FPD Materials, AM-FPD 2012 Proceedings of the 19th International Workshop on Active-Matrix Flatpanel Displays and Devices - TFT Technologies and FPD Materials, AM-FPD 2012, 231-234, 2012.10, Low-temperature (≤ 350°C) formation of orientation-controlled large-grain Ge on insulating substrates is essential to achieve advanced flexible devices employing plastic substrates. To achieve this, effects of interfacial-oxide layer insertion on gold-induced crystallization (GIC) of amorphous Ge films on insulating substrates have been investigated. Consequently, (111)-oriented large-grain (20-50 μm) Ge crystals are obtained at 350°C by inserting interfacial oxide layers. It is speculated that this phenomena is attributed to suppression of random bulk nucleation of Ge in Au films..
122. Shota Kino, Akira Heya, Yuki Nonomura, Naoto Matsuo, Kazuhiro Kanda, Shuji Miyamoto, Sho Amano, Takayasu Mochizuki, Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Crystallization mechanism of a-Si and a-Ge by soft X-ray irradiation, 19th International Workshop on Active-Matrix Flatpanel Displays and Devices - TFT Technologies and FPD Materials, AM-FPD 2012 Proceedings of the 19th International Workshop on Active-Matrix Flatpanel Displays and Devices - TFT Technologies and FPD Materials, AM-FPD 2012, 223-226, 2012.10, We investigated a low-temperature crystallization of a- Si and a-Ge films by the synchrotron radiation soft X-ray irradiation at storage-ring current of 25-220 mA. It is found that the crystallization temperatures of a-Si and a-Ge were decreased from 680 to 580°C and from 500 to 390°C. These decreasements relate effects of enhancement atomic migration via electron excitation at valence band and core level..
123. M. Anisuzzaman, S. Muta, A. M. Hashim, M. Miyao, T. Sadoh, Formation of nanostructured germanium-on-insulator for integration of multi-functional materials on a panel, 19th International Workshop on Active-Matrix Flatpanel Displays and Devices - TFT Technologies and FPD Materials, AM-FPD 2012 Proceedings of the 19th International Workshop on Active-Matrix Flatpanel Displays and Devices - TFT Technologies and FPD Materials, AM-FPD 2012, 235-238, 2012.10, Single-crystal germanium-on-insulator (GOI) structures are essential to integrate high-speed transistors, high-efficiency solar cells, and non-volatile spintronic memories on a panel, because GOI structures can be used as templates for epitaxial growth of multi-functional materials, as well as channel layers with high-carrier mobility. We investigate formation of high-density nanostructured single crystalline GOI templates by SiGe-mixing-triggered rapid melting growth. The GOI structures consisting of dense strip-arrays and mesh-networks are examined. For strip-array patterns, rotation in crystal orientation along the growth direction is observed. However, such crystal rotation has been completely suppressed by employing mesh-network patterns. This enables high-density single-crystal GOI templates with narrow spacing (
124. M. Kurosawa, Y. Tojo, R. Matsumura, T. Sadoh, and M. Miyao, Single-crystalline laterally graded GeSn on insulator structures by segregation controlled rapid-melting growth, Applied Physics Letters, 101, 9, 091905-1-4, 2012.08, 溶融成長時の偏析現象を利用し、絶縁膜上に組成傾斜を有するGeSn単結晶薄膜を実現した。成長と共に生じるSn偏析の挙動を明らかにすると共に、組成傾斜を制御する指針を明確化した。絶縁膜上における次世代半導体GeSn単結晶薄膜の高品位形成を実現する手法の確立であり、次世代LSIの実現を加速する重要な成果である。.
125. Taizoh SADOH, Masanobu Miyao, Enhanced Interfacial-Nucleation in Al-Induced Crystallization for (111) Oriented Si1-xGex (0 ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 10.1149/2.010203jss, 1, 3, 144-147, 2012.08.
126. Masashi Kurosawa, Yuki Tojo, Ryo Matsumura, Taizoh Sadoh, Masanobu Miyao, Single-crystalline laterally graded GeSn on insulator structures by segregation controlled rapid-melting growth, Applied Physics Letters, 10.1063/1.4748328, 101, 9, 2012.08, Single-crystalline laterally graded GeSn-on-insulator (GeSnOI) structures are essential to achieve novel device-arrays with various direct-energy-band gaps, which can be merged with high-density Si large-scale-integrated-circuits. We investigate the seeding rapid-melting-growth of narrow stripes with a-Ge/Sn/a-Ge stacked-structures. This achieves laterally graded GeSn crystalline layers on Si substrates covered with SiO 2 films. Stripe-length dependent GeSn lateral-profiles are quantitatively explained by Scheil equation, which enables precise designing of GeSn lateral-profiles. High-crystallinity GeSn stripes without dislocations or stacking faults are also demonstrated..
127. Shota Kino, Yuki Nonomura, Akira Heya, Naoto Matsuo, Kazuhiro Kanda, Shuji Miyamoto, Sho Amano, Takayasu Mochizuki, Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Crystallization mechanism of thick a-Si 0.5Ge 0.5 film by excimer laser annealing, 10th International Meeting for Future of Electron Devices, Kansai, IMFEDK 2012 IMFEDK 2012 - 2012 International Meeting for Future of Electron Devices, Kansai, 10.1109/IMFEDK.2012.6218600, 98-99, 2012.07, We investigated crystallization mechanism of a-Si 0.5Ge 0.5 film by excimer-laser annealing (ELA) at energy density of 50-70mJ/cm 2 in comparison with a method of synchrotron radiation soft X-ray irradiation at storage ring current of 50-220 mA. The nucleation mechanism of Si 0.5Ge 0.5 via ELA will be discussed by considering the soft X-ray method..
128. Taizoh SADOH, Masanobu Miyao, Epitaxial-Template Structure Utilizing Ge-on-Insulator Stripe Arrays with Nanospacing for Advanced Heterogeneous Integration on Si Platform, JAPANESE JOURNAL OF APPLIED PHYSICS, 10.1143/JJAP.51.06FF04, 51, 6, 06FF04-1-06FF04-5, 2012.06.
129. Abdul Manaf Hashim, Mohamad Anisuzzaman, Shunpei Muta, Taizoh Sadoh, Masanobu Miyao, Epitaxial-template structure utilizing Ge-on-insulator stripe arrays with nanospacing for advanced heterogeneous integration on Si platform, Japanese journal of applied physics, 10.1143/JJAP.51.06FF04, 51, 6 PART 2, 2012.06, A possible Ge-on-insulator (GOI) structure, namely, stripe arrays with nanospacing, was proposed as a promising epitaxial template structure for the Ge epitaxial layer. Agglomeration-free single-crystalline GOI stripe arrays with thickness of 50nm and spacing down to 0.5 μm were successfully grown by the Si-seeded rapid-melting growth technique. The growth of GOI stripe arrays with a spacing of 0.1 μm was not achieved owing due to the severe agglomeration of Ge during the heat treatment. This may be due to the small adhesion area of the capping layer between the stripes where it could not withstand the force caused by Ge agglomeration. From the electron backscattering diffraction (EBSD) measurement, the rotational growth was confirmed by the observation of various orientations when the thickness of the Ge layer was reduced to 20 nm. This is probably due to the decrease in the bulk effects that basically act to prevent the slip of lattice planes. These preliminary results provide a breakthrough towards the realization of heterogeneous integration on Si platforms with multifunctionalities..
130. SiGe-mixing-triggered rapid-melting growth for Ge-on-insulator formation.
131. SiGe-mixing-triggered rapid-melting growth for Ge-on-insulator formation.
132. M. Kurosawa, N. Kawabata, T. Sadoh, and M. Miyao, Hybrid-orientation Ge-on-insulator structures on (100) Si platform by Si micro-seed formation combined with rapid-melting growth, Applied Physics Letters, 100, 17, 172107-1-5, 2012.04, 金属誘起成長における界面エネルギー最小化効果を利用し、(110),(111)面方位を有するSi単結晶粒を非晶質の絶縁膜上に形成するとともに、これらと基板(Si(100))をシードとしたGe溶融成長を誘起するプロセス手法を構築した。その結果、多面方位((100),(110),(111))を有する単結晶Ge薄膜を同一基板上に混載する事に成功した。人工単結晶技術の創成であり、次世代LSIの実現を加速する重要な成果である。.
133. Masashi Kurosawa, Naoyuki Kawabata, Taizoh Sadoh, Masanobu Miyao, Hybrid-orientation Ge-on-insulator structures on (100) Si platform by Si micro-seed formation combined with rapid-melting growth, Applied Physics Letters, 10.1063/1.4705733, 100, 17, 2012.04, Hybrid-integration of (111), (110), and (100) Ge-on-insulator (GOI) on an Si chip is essential to merge III-V semiconductor optical-devices as well as high-speed Ge transistors onto Si-large-scale integrated-circuits. We clarify important-parameters to control Ni-metal-induced lateral crystallization and Al-induced layer-exchange crystallization. This achieves artificial (110) and (111) Si micro-seed on insulating-film. Together with Si substrate as (100) Si seed, multi-crystal-seeds with different orientations are aligned on a Si chip. Then, SiGe-mixing triggered rapid-melting-growth of amorphous-Ge is examined from these multi-crystal-seeds. This enables simultaneous Ge lateral-crystallization with (111), (110), and (100) orientations. High-quality, hybrid-orientation GOIs without defects are demonstrated on Si platform..
134. Orientation-Controlled Large-Grain Ge on Insulator by Au-Induced Layer Exchange Crystallization with Interfacial Oxide Layer.
135. Seed-Less Melting Growth of Ge(Si) on Insulator.
136. H. Yokoyama, K. Toko, T. Sadoh, and M. Miyao, Nano-lithography free formation of high density Ge-on-insulator network for epitaxial template, Applied Physics Letters, 100, 9, 092111-1-4, 2012.03, 絶縁膜上におけるGe薄膜の自己組織化現象を利用し、リソグラフィー技術を用いることなく、絶縁膜上に微細メッシュ構造を有するGe単結晶薄膜を形成するとともに、これをテンプレートとして大面積かつ平坦な単結晶Ge薄膜をエピタキシャル成長するプロセスを創成した。絶縁膜上に多機能を有する異種デバイスを混載する基盤技術の創出であり、次世代LSIの実現を加速する重要な成果である。.
137. Taizoh SADOH, Effects of dose on activation characteristics of P in Ge, THIN SOLID FILMS, 10.1016/j.tsf.2011.10.076, 520, 8, 3255-3258, 2012.02.
138. Taizoh SADOH, Masanobu Miyao, Enhancement of SiN-induced compressive and tensile strains in Si free-standing microstructures by modulation of SiN network structures, THIN SOLID FILMS, 10.1016/j.tsf.2011.10.088, 520, 8, 3276-3278, 2012.02.
139. Taizoh SADOH, Masanobu Miyao, Low temperature (~250 °C) layer exchange crystallization of Si1−xGex (x=1–0) on insulator for advanced flexible devices, 520, 3293-3295, 2012.02.
140. Mohammad Anisuzzaman, Taizoh Sadoh, Effects of dose on activation characteristics of P in Ge, Thin Solid Films, 10.1016/j.tsf.2011.10.076, 520, 8, 3255-3258, 2012.02, Ion-implantation characteristics and dopant activation behavior of P in Ge have been investigated. A Monte Carlo simulation indicates a smaller projected range and consequently a smaller critical dose of amorphization for Ge compared to Si. The solid-phase epitaxial (SPE) regrowth characteristics of damaged layers for Ge clearly depend on crystal orientation of the substrate in completely amorphized samples, while no orientation dependent regrowth is observed in the partially amorphized samples. These phenomena were explained on the basis of the damage cluster model. In addition, maximum carrier activation coincides with the complete regrowth at annealing temperatures of 300-400°C in completely amorphized samples. However, higher temperature annealing (500-550°C) is necessary for maximum carrier activation in partially amorphized samples, although SPE regrowth completes around 250-300°C. Analysis of the temperature dependence of carrier activation ratio in partially amorphized samples suggests that carrier-activation should be mediated by vacancy-migration..
141. T. Sadoh, M. Kurosawa, A. Heya, N. Matsuo, M. Miyao, Enhancement of SiN-induced compressive and tensile strains in Si free-standing microstructures by modulation of SiN network structures, Thin Solid Films, 10.1016/j.tsf.2011.10.088, 520, 8, 3276-3278, 2012.02, Strain-induced enhancement of carrier mobility is essential for achieving high-speed transistors. The effects of thermal-annealing (temperature: 400-1150°C) and ultraviolet (UV) laser-annealing (wavelength: 248 nm, temperature: 30-400°C) on strain-enhancement in Si-pillars covered with Si 3N 4 stress-liners by plasma-enhanced chemical vapor deposition are investigated. Before annealing, the Si 3N 4 stress-liners induce a tensile strain (∼0.5%) in Si. After thermal-annealing (> 800°C), the strain becomes highly compressive (> ∼0.4%), because of dehydrogenation-induced structural relaxation in Si 3N 4 films. On the other hand, the tensile strain becomes large (>~0.7%) after UV laser-annealing at 400°C, due to non-equilibrium dehydrogenation in Si 3N 4 films. This strain-enhancement technique is useful for the realization of advanced high-speed three-dimensional transistors..
142. Jong Hyeok Park, Masashi Kurosawa, Naoyuki Kawabata, Masanobu Miyao, Taizoh Sadoh, Low temperature (∼250°C) layer exchange crystallization of Si 1 - XGe x (x = 1-0) on insulator for advanced flexible devices, Thin Solid Films, 10.1016/j.tsf.2011.10.087, 520, 8, 3293-3295, 2012.02, Low-temperature (∼250°C) layer exchange crystallization of poly-Si 1 - xGe x (x = 1-0) films on insulators has been investigated for realization of advanced flexible devices. We propose utilization of Au as catalyst to enhance the crystallization at low temperatures. By annealing (∼250°C, 20 h) of the a-Si 1 - xGe x (x = 1-0)/Au stacked structures formed on insulating substrates, the SiGe and Au layers exchange their positions, and Au/poly-SiGe stacked structures are obtained. The Ge fractions of the obtained poly-SiGe layers are identical to that of the initial a-SiGe layers, and there is no Si or Ge segregation. This low temperature crystallization technique enables poly-SiGe films on plastic substrates, which are essential to realize advanced flexible devices..
143. Hiroyuki Yokoyama, Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Nano-lithography free formation of high density Ge-on-insulator network for epitaxial template, Applied Physics Letters, 10.1063/1.3691258, 100, 9, 2012.02, High-quality Ge-on-insulator (GOI) structures are essential for integrating multi-functional devices onto the Si-platform. We develop the nano-lithography free method for single-crystalline GOI networks by combining partial Ge evaporation and rapid-melting growth techniques. This realizes chip size GOI with high Ge coverage fractions (>75), which is crisscrossed with nano-spacing (∼100 nm width). Over-epitaxy of Ge on the GOI network is also examined, which achieves single-crystalline GOI uniform-plane by covering the nano-spacing. This proves the validity of high-density GOI networks as the epitaxial template. This method will facilitate the heterogeneous integration of Ge, III-V semiconductors, and magnetic materials on the Si-platform..
144. B. Matsukura, Y. Hiraiwa, T. Nakajima, K. Narumi, S. Sakai, T. Sadoh, M. Miyao, Y. Maeda, Self organization of FeGe/FeSi/FeGe layered structures on Ge and their electrical conduction properties, Asian School-Conference on Physics and Technology of Nanostructured Materials, ASCONanomat 2011 Physics Procedia, 10.1016/j.phpro.2012.01.006, 23, 21-24, 2012.01, We have investigated self organization of novel FeGe/FeSi/FeGe layered structures on Ge(111) and their electrical conduction properties. From analyses of compositional change, diffusion dynamics analyses and microstructure observations, it was revealed that the self organization of the layered structures was enhanced by spinodal decomposition which may be induced by pronounced compositional changes associating to inhomogeneous interdiffusion. The electrical conduction showed singular temperature dependence which obviously reflected natureof Kondo insulator FeSi..
145. Development of Low-Temperature Crystallization Method of Thin Film Semiconductor Using Soft X-ray Source.
146. Yoshihito Maeda, Kazumasa Narumi, Seiji Sakai, Yoshikazu Terai, Kohei Hamaya, Taizoh Sadoh, Masanobu Miyao, Ion channeling study of epitaxy of iron based Heusler alloy films on Ge(111), Thin Solid Films, 10.1016/j.tsf.2011.05.024, 519, 24, 8461-8467, 2011.10, We have investigated perfection of atomic rows on iron-based Heusler alloy films on Ge(111) planes by using ion channeling technique in order to find the dominant factors for the perfection. Fe3Si/Ge(111) and Fe 2CoSi/Ge(111) have a high quality of atomic rows at the heterointerface like that of perfect crystals. Fe3-xMn xSi/Ge(111) (x = 0.84, 0.72 and 0.36) interfaces have imperfection of atomic rows which may be controlled by both the lattice mismatch with the Ge substrate and the Mn-Si pairs due to the site disorder in the film with the Mn content x > 0.75. Analysis of axial channeling parameters employed in this study is very useful for quantitative evaluation of perfection of atomic rows at the heterointerface..
147. Yoshihito Maeda, Yoshikazu Terai, Kevin P. Homewood, Kenichi Takarabe, Kenji Yamaguchi, Motofumi Suzuki, Taizoh Sadoh, Yoshiaki Nakamura, Preface, Thin Solid Films, 10.1016/j.tsf.2011.05.018, 519, 24, 8433, 2011.10.
148. Jong Hyeok Park, Masashi Kurosawa, Naoyuki Kawabata, Masanobu Miyao, Taizoh Sadoh, Au-catalyst induced low temperature (∼250 °C) layer exchange crystallization for SiGe on insulator, 15th International Symposium on Advanced Semiconductor-on-Insulator Technology and Related Physics - 219th ECS Meeting Advanced Semiconductor-on-Insulator Technology and Related Physics 15, 10.1149/1.3570774, 39-42, 2011.08, The gold-induced crystallization technique has been investigated to achieve poly-SiGe films on insulators at low temperatures (≤ 300°C). By annealing of the amorphous SiGe (Ge concentration: 0-100%)/Au stacked structures formed on insulating substrates, positions of the SiGe and Au layers are inverted, and the Au/SiGe stacked structures are obtained. Crystallization of the SiGe layers in the inverted samples is confirmed by the Raman scattering spectroscopy analysis. Moreover, the Raman measurements reveal that the Ge fractions in the crystallized SiGe layers are almost the same as those of the initial amorphous SiGe layers. This gold-induced layer-exchange crystallization technique of SiGe layers at a low temperature (∼250°C) will be very useful to obtain poly-SiGe layers on plastic substrates, which are essential to realize flexible high-speed thin-films transistors and high-efficiency solar cells..
149. H. Yokoyama, Y. Ohta, K. Toko, T. Sadoh, M. Miyao, Growth-direction dependent rapid-melting-growth of Ge-on-Insulator (GOI) and its application to Ge mesh-growth, 15th International Symposium on Advanced Semiconductor-on-Insulator Technology and Related Physics - 219th ECS Meeting Advanced Semiconductor-on-Insulator Technology and Related Physics 15, 10.1149/1.3570777, 55-60, 2011.08, Single crystal Ge-on-insulator (GOI) structures with various crystal orientations are necessary for realization of advanced high-speed and multi-functional devices. SiGe mixing triggered rapid-melting-growth of GOI is investigated as a function of seed-orientations and growth-directions. Single crystal growth of (100)-Ge strips is possible for all growth directions using (100)-oriented Si-seeds. However, rotational-growth is observed for some directions when Si-seeds with (110) and (111) orientations are employed. Such rotational-growth is completely suppressed by selecting the growth-directions deviating from the 〈111〉 direction by more than 35°. Based on this finding, growth of large mesh-patterned Ge layers with (100), (110), and (111) orientations are demonstrated..
150. Masashi Kurosawa, Naoyuki Kawabata, Ryusuke Kato, Taizoh Sadoh, Masanobu Miyao, Lateral-liquid phase epitaxy of (101) Ge-on-insulator from Si template by metal-induced crystallization, 15th International Symposium on Advanced Semiconductor-on-Insulator Technology and Related Physics - 219th ECS Meeting Advanced Semiconductor-on-Insulator Technology and Related Physics 15, 10.1149/1.3570776, 51-54, 2011.08, We investigate metal-induced lateral crystallization (MILC) of Si on insulator to achieve (101) oriented Si films. Moreover, we demonstrate the lateral liquid phase epitaxy of high quality Ge(101) layers by using the MILC-Si films as crystal seed. This technique will be employed to realize high-speed thin-film transistors with Ge channel..
151. K. Toko, Y. Ohta, T. Tanaka, T. Sadoh, and M. Miyao, Chip-size formation of high-mobility Ge strips on SiN films by cooling rate controlled rapid-melting growth, Applied Physics Letters, 99, 03, 032103, 2011.07, 絶縁膜上におけるGe薄膜の溶融成長を総合的に検討し、チップサイズ(1cm)に匹敵する巨大な単結晶Ge薄膜を実現した。さらに、高いキャリヤ移動度を有することを実証した。Geをチャネルとした超高速トランジスタの基盤技術の創出であり、次世代LSIの実現を加速する重要な成果である。.
152. Kaoru Toko, Yasuharu Ohta, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Chip-size formation of high-mobility Ge strips on SiN films by cooling rate controlled rapid-melting growth, Applied Physics Letters, 10.1063/1.3611904, 99, 3, 2011.07, High-quality Ge-on-insulators (GOIs) are essential structures for high-performance transistors on an Si platform. We developed a rapid-melting-growth process for amorphous Ge (a-Ge) by optimizing the cooling rate and the underlying insulating materials. The effects of the solidification process for molten Ge on hole generation and spontaneous nucleation in Ge were determined. In addition, nucleation in the a-Ge matrix was found to be drastically suppressed by substituting SiO2 underlayers with SiN underlayers. By combining high cooling rates (10.5-11.5 °Cs-1) and SiN underlayers, we obtained ultra-long single crystal GOI strips (1 cm) with high hole mobilities (> 1000 cm2V-1s -1). This chip-size formation of high-quality GOI will facilitate the development of advanced high-speed Ge-based devices..
153. Taizoh SADOH, Masanobu Miyao, Strained single-crystal GOI (Ge on Insulator) arrays by rapid-melting growth from Si (111) micro-seeds, SOLID-STATE ELECTRONICS, 10.1016/j.sse.2011.01.037, 60, 1, 22-25, 2011.06.
154. Taizoh SADOH, Masanobu Miyao, Growth-direction-dependent characteristics of Ge-on-insulator by Si-Ge mixing triggered melting growth, SOLID-STATE ELECTRONICS, 10.1016/j.sse.2011.01.039, 60, 1, 18-21, 2011.06.
155. Taizoh SADOH, Masanobu Miyao, Growth-direction-dependent characteristics of Ge-on-insulator by Si-Ge mixing triggered melting growth, SOLID-STATE ELECTRONICS, 10.1016/j.sse.2011.01.039, 60, 1, 18-21, 2011.06.
156. Taizoh SADOH, Masanobu Miyao, Al-Induced oriented-crystallization of Si films on quartz and its application to epitaxial template for Ge growth, SOLID-STATE ELECTRONICS, 10.1016/j.sse.2011.01.033, 60, 1, 7-12, 2011.06.
157. Masashi Kurosawa, Kaoru Toko, Naoyuki Kawabata, Taizoh Sadoh, Masanobu Miyao, Al-Induced oriented-crystallization of Si films on quartz and its application to epitaxial template for Ge growth, Solid-State Electronics, 10.1016/j.sse.2011.01.033, 60, 1, 7-12, 2011.06, We comprehensively investigate Al-induced crystallization (AIC) of Si to achieve (0 0 1) and (1 1 1)-oriented Si films on quartz substrates. These phenomena are systematically explained by 'preferential interfacial-nucleation model' considering the nucleation sites and the phase transition of the interfacial Al oxide layers. Moreover, we demonstrate the lateral liquid phase epitaxy of Ge by using the orientation-controlled AIC-Si films as crystal seed. This technique enables high quality hetero-epitaxy of SiGe and Ge on transparent insulating substrates..
158. Y. Ohta, T. Tanaka, K. Toko, T. Sadoh, M. Miyao, Growth-direction-dependent characteristics of Ge-on-insulator by Si-Ge mixing triggered melting growth, Solid-State Electronics, 10.1016/j.sse.2011.01.039, 60, 1, 18-21, 2011.06, The lateral liquid-phase epitaxy of Ge-on-insulator (GOI) using Si seeds has been investigated as a function of the Si-seed orientation and the growth direction. Giant single-crystalline GOI structures with ∼200 μm length are obtained using Si(1 0 0), (1 1 0), and (1 1 1) seeds. The very long growth is explained on the basis of the solidification temperature gradient due to Si-Ge mixing around the seeding area and the thermal gradient due to the latent heat around the solid/liquid interface at the growth front. In addition, growth with rotating crystal orientations is observed for samples with several growth directions. The rotating growth is explained on the basis of the bonding strength between lattice planes at the growth front. This rotating growth does not occur in any direction for (1 0 0) orientated seeds. Based on this finding the mesh-patterned GOI growth with a large area (250 μm × 500 μm) is demonstrated..
159. Taizoh Sadoh, Masashi Kurosawa, Takashi Hagihara, Kaoru Toko, Masanobu Miyao, Low-temperature (∼ 250°C) Cu-induced lateral crystallization of amorphous Ge on insulator, Electrochemical and Solid-State Letters, 10.1149/1.3582794, 14, 7, 2011.06, Metal-induced lateral crystallization (MILC) of amorphous Ge has been investigated to realize low temperature formation of poly-Ge films on insulating substrates. From a comparative study of MILC with various catalysis metals (Ni, Co, Pd, and Cu), it was found that Cu enhanced both nucleation and subsequent nucleus growth the most significantly among the species. Consequently, low temperature (∼ 250°C) growth with a high velocity (∼1 m/h) of poly-Ge films becomes possible by employing Cu as the catalyst. This technique will accelerate the realization of advanced high-speed TFT on flexible substrates..
160. T. Sakane, K. Toko, T. Tanaka, T. Sadoh, M. Miyao, Strained single-crystal GOI (Ge on Insulator) arrays by rapid-melting growth from Si (1 1 1) micro-seeds, Solid-State Electronics, 10.1016/j.sse.2011.01.037, 60, 1, 22-25, 2011.06, Liquid-phase epitaxial growth of Ge islands on insulator (GOI) using Ni-imprint-induced Si (1 1 1) micro-crystal seeds (∼1 μmφ) is proposed. As a result, single-crystalline GOI (1 1 1) structures with large area (∼10 μmφ) are realized. The transmission electron microscopy observations reveal no dislocation or stacking fault in the laterally grown regions. Moreover, the Raman measurements show that the tensile strain (∼0.2%) which enhances the carrier mobility is induced in the growth regions. This new method can be employed to realize the multi-functional SiGe large scale integrated circuits..
161. I. Mizushima, K.Toko, Y. Ohta, T. Sakane, T. Sadoh, and M. Miyao, Mesh-shape-and-size controlled rapid-melting growth for the formation of single-crystalline (100), (110) and (111) Ge networks on insulators, Applied Physics Letters, 98, 18, 182107, 2011.05, 絶縁膜上にメッシュ形状を有するGe単結晶薄膜を形成するプロセス技術を開発した。熱力学的な考察を元に試料構造とプロセス条件を適正化し、Si(100),(110),(111)基板からの溶融成長を誘起することにより、大面積にわたるメッシュ状Ge(100),(110),(111)単結晶薄膜の高品位成長を実現した。デバイスレイアウトの自由度が飛躍的に向上できる。超高速Geトランジスタを用いた次世代LSIの創出にを加速する成果である。.
162. Taizoh SADOH, Masanobu Miyao, Growth-Direction Dependent Rapid-Melting-Growth of Ge-on-Insulator (GOI) and its Application to Ge Mesh-Growth, ADVANCED SEMICONDUCTOR-ON-INSULATOR TECHNOLOGY AND RELATED PHYSICS 15, 10.1149/1.3570777, 35, 5, 55-60, 2011.05.
163. Taizoh SADOH, Masanobu Miyao, Au-Catalyst Induced Low Temperature (similar to 250 degrees C) Layer Exchange Crystallization for SiGe on Insulator, ADVANCED SEMICONDUCTOR-ON-INSULATOR TECHNOLOGY AND RELATED PHYSICS 15, 10.1149/1.3570774, 35, 5, 39-42, 2011.05.
164. Taizoh SADOH, Masanobu Miyao, Lateral-liquid phase epitaxy of (101) Ge-on-insulator from Si template by metal-induced crystallization, ADVANCED SEMICONDUCTOR-ON-INSULATOR TECHNOLOGY AND RELATED PHYSICS 15, 10.1149/1.3570776, 35, 5, 51-54, 2011.05.
165. Taizoh SADOH, Masanobu Miyao, Growth-Direction Dependent Rapid-Melting-Growth of Ge-on-Insulator (GOI) and its Application to Ge Mesh-Growth, ADVANCED SEMICONDUCTOR-ON-INSULATOR TECHNOLOGY AND RELATED PHYSICS 15, 10.1149/1.3570777, 35, 5, 55-60, 2011.05.
166. Ichiro Mizushima, Kaoru Toko, Yasuharu Ohta, Takashi Sakane, Taizoh Sadoh, Masanobu Miyao, Mesh-shape-and-size controlled rapid-melting growth for the formation of single-crystalline (100), (110), and (111) Ge networks on insulators, Applied Physics Letters, 10.1063/1.3586259, 98, 18, 2011.05, Single-crystalline-Ge (c-Ge) networks with various crystal orientations on insulators formed on Si substrates are essential for integrating high-speed and multifunctional devices onto the Si platform. c-Ge networks are realized by rapid-melting growth of mesh-patterned amorphous-Ge over large areas (500×250 μm m2) on (110) and (111) as well as (100) Si substrates by optimizing the shape and the size of the mesh. It is revealed that latent-heat generated at the growth front can be controlled by selecting mesh-shape-and-size, which suppresses the spontaneous nucleation. In addition, essential role of the growth-direction on preventing the rotational growth is clarified..
167. T. Sadoh, M. Kurosawa, T. Hagihara, K. Toko, and M. Miyao, Low-Temperature (~ 250℃) Cu-Induced Lateral Crystallization of Amorphous Ge on Insulator, Electrochemical and Solid-State Letters, 14, 7, H274, 2011.04.
168. Mohammad Anisuzzaman, Taizoh Sadoh, Role of Vacancy Annihilation in Electrical Activation of P Implanted in Ge, IEICE technical report, 111, 4, 13-16, 2011.04, Due to the scaling limit faced by Si CMOS technology, much interest is being given on Ge. However, development of the Ge device technology requires more research into the fabrication processes. In this study, we have investigated the doping characteristics of P in Ge as a function of the dopant dose and substrate orientation. It is found that recovery characteristics of damaged layers depends on crystal orientation of the Ge substrates for samples having completely amorphized surface-layers produced by high-dose (1x10^ cm^) implantation. This is due to orientation-dependent regrowth velocity of Ge. On the other hand, no orientation dependence is observed for samples implanted with low dose (5x10^-1x10^ cm^), whose surface layers are partially amorphized. For high-dose samples, the complete carrier-activation coincides with the damage-recovery at 350-400℃. However, higher temperature annealing (500-550℃) is necessary for carrier activation in low-dose samples, though crystallinity recovers at 250-300℃. The temperature-dependence of the carrier-activation ratio suggests that carrier-activation in low-dose samples is mediated by vacancy-migration..
169. Mohammad Anisuzzaman, Taizoh Sadoh, Role of Vacancy Annihilation in Electrical Activation of P Implanted in Ge, IEICE technical report, 111, 5, 13-16, 2011.04, Due to the scaling limit faced by Si CMOS technology, much interest is being given on Ge. However, development of the Ge device technology requires more research into the fabrication processes. In this study, we have investigated the doping characteristics of P in Ge as a function of the dopant dose and substrate orientation. It is found that recovery characteristics of damaged layers depends on crystal orientation of the Ge substrates for samples having completely amorphized surface-layers produced by high-dose (1x10^ cm^) implantation. This is due to orientation-dependent regrowth velocity of Ge. On the other hand, no orientation dependence is observed for samples implanted with low dose (5x10^-1x10^ cm^), whose surface layers are partially amorphized. For high-dose samples, the complete carrier-activation coincides with the damage-recovery at 350-400℃. However, higher temperature annealing (500-550℃) is necessary for carrier activation in low-dose samples, though crystallinity recovers at 250-300℃. The temperature-dependence of the carrier-activation ratio suggests that carrier-activation in low-dose samples is mediated by vacancy-migration..
170. Taizoh SADOH, Masanobu Miyao, Au-Induced Low-Temperature (similar to 250 degrees C) Crystallization of Si on Insulator Through Layer-Exchange Process, ELECTROCHEMICAL AND SOLID STATE LETTERS, 10.1149/1.3562275, 14, 6, H232-H234, 2011.03.
171. Mastura Shafinaz Zainal Abidin, Abdul Manaf Hashim, Maneea Eizadi Sharifabad, Shaharin Fadzli Abd Rahman, Taizoh Sadoh, Open-gated pH sensor fabricated on an undoped-AlGaN/GaN HEMT structure, Sensors, 10.3390/s110303067, 11, 3, 3067-3077, 2011.03, The sensing responses in aqueous solution of an open-gated pH sensor fabricated on an AlGaN/GaN high-electron-mobility-transistor (HEMT) structure are investigated. Under air-exposed ambient conditions, the open-gated undoped AlGaN/GaN HEMT only shows the presence of a linear current region. This seems to show that very low Fermi level pinning by surface states exists in the undoped AlGaN/GaN sample. In aqueous solution, typical current-voltage (I-V) characteristics with reasonably good gate controllability are observed, showing that the potential of the AlGaN surface at the open-gated area is effectively controlled via aqueous solution by the Ag/AgCl gate electrode. The open-gated undoped AlGaN/GaN HEMT structure is capable of distinguishing pH level in aqueous electrolytes and exhibits linear sensitivity, where high sensitivity of 1.9 mA/pH or 3.88 mA/mm/pH at drain-source voltage, VDS = 5 V is obtained. Due to the large leakage current where it increases with the negative gate voltage, Nernstian like sensitivity cannot be determined as commonly reported in the literature. This large leakage current may be caused by the technical factors rather than any characteristics of the devices. Surprisingly, although there are some imperfections in the device preparation and measurement, the fabricated devices work very well in distinguishing the pH levels. Suppression of current leakage by improving the device preparation is likely needed to improve the device performance. The fabricated device is expected to be suitable for pH sensing applications..
172. Taizoh Sadoh, Kaoru Toko, Masashi Kurosawa, Takanori Tanaka, Takashi Sakane, Yasuharu Ohta, Naoyuki Kawabata, Hiroyuki Yokoyama, Masanobu Miyao, SiGe-mixing-triggered rapid-melting-growth of high-mobility Ge-on-insulator, International Symposium on Technology Evolution for Silicon Nano-Electronics 2010, ISTESNE Technology Evolution for Silicon Nano-Electronics, 10.4028/www.scientific.net/KEM.470.8, 8-13, 2011.03, We have investigated the Si-seeding rapid-melting process and demonstrated the formation of giant Ge stripes with (100), (110), and (111) orientations on Si (100), (110), and (111) substrates, respectively, covered with SiO 2 films. We revealed that crystallization is triggered by Si-Ge mixing in the seeding regions in this process. Based on this mechanism, we have proposed a novel technique to realize orientation-controlled Ge layers on transparent insulating substrates by using Si artificial micro-seeds with (100) and (111)-orientations. This achieved epitaxial growth of single crystalline (100) and (111)-oriented Ge stripes on quartz substrates. The Ge layers showed a high hole mobility exceeding 1100 cm2/Vs owing to the high crystallinity..
173. Taizoh SADOH, Masanobu Miyao, Dehydrogenation-Enhanced Large Strain (similar to 1.6%) in Si Pillars Covered by Si3N4 Stress Liners, ELECTROCHEMICAL AND SOLID STATE LETTERS, 10.1149/1.3551465, 14, 4, H174-H176, 2011.02.
174. M. Kurosawa, T. Sadoh, and M. Miyao, Selective-mapping of uniaxial and biaxial strains in Si-on-insulator microstructures by polarized microprobe Raman spectroscopy, Applied Physics Letters, 98, 1, 012110, 2011.01, 偏光ラマン分光法を用い、Si結晶薄膜に導入されている歪みが1軸性か2軸性かを判別する手法を開発した。さらに2次元マッピングにより、薄膜中に存在する1軸歪みおよび2軸歪みの分布を可視化することに成功した。次世代トランジスタ構築に有用な新評価手法の創成である。.
175. K. Toko, Y. Ohta, T. Sakane, T. Sadoh, I. Mizushima, and M. Miyao, Single-crystalline (100) Ge networks on insulators by rapid-melting growth along hexagonal mesh-pattern , Applied Physics Letters, 98, 4, 042101, 2011.01, 絶縁膜上にメッシュ状Ge単結晶薄膜を形成するプロセス技術を開発した。熱力学的な考察を元に試料構造とプロセス条件を適正化し、大面積にわたるメッシュ状Ge単結晶薄膜の高品位成長を実現した。デバイスレイアウトの自由度が飛躍的に向上でき、高速Geトランジスタを用いた次世代LSIの創出につながる成果である。.
176. K. Hamaya, Y. Ando, T. Sadoh, and M. Miyao, Source–Drain Engineering Using Atomically Controlled Heterojunctions for Next-Generation SiGe Transistor Applications, Japanese Journal of Applied Physics, 50, 01, 010101, 2011.01.
177. Yoshihito Maeda, Tatsuya Ikeda, Takayuki Ichikawa, Takahito Nakajima, Bui Matsukura, Taizoh Sadoh, Masanobu Miyao, Magnetooptical properties of iron based Heusler alloy epitaxial films on Ge(111), Physics Procedia, 10.1016/j.phpro.2011.01.004, 11, 200-203, 2011.01, We have examined the LMOKE of some Heusler alloy films Fe4Si, Fe3Si, Fe2CoSi, Fe2MnSi (21at and 9at%Mn), Co2FeSi epitaxially grown on Ge(111) and found that Fe3Si, Fe4Si and Fe2CoSi films have larger Kerr rotation and smaller coercive fields than the amorphous Fe film and that they may be promising materials for magnetophotonic applications..
178. Masashi Kurosawa, Taizoh Sadoh, Masanobu Miyao, Selective-mapping of uniaxial and biaxial strains in Si-on-insulator microstructures by polarized microprobe Raman spectroscopy, Applied Physics Letters, 10.1063/1.3535606, 98, 1, 2011.01, Nondestructive evaluation of uni- and biaxial strain-distributions in Si-on-insulator (SOI) structures is essential to accelerate research and development of next-generation-strained-Si-transistors. We investigate polarized Raman scattering from (001) SOI-microstructures with SiN-stress-liners. Raman intensities are analyzed as a function of the angle between [100]-axis and polarization-directions of scattered-light. Interestingly, inactive Raman peaks in strain-free Si for scattered-light polarized along [100] and [010] directions become active in strained-Si, which is quantitatively explained by crystal-symmetry-change from cubic to orthorhombic or tetragonal structures. These findings enable precise evaluation of strain-distributions. Based on these results, selective-mapping of uni- and biaxial-strains in SOI-microstructures is demonstrated..
179. Kaoru Toko, Yasuharu Ohta, Takashi Sakane, Taizoh Sadoh, Ichiro Mizushima, Masanobu Miyao, Single-crystalline (100) Ge networks on insulators by rapid-melting growth along hexagonal mesh-pattern, Applied Physics Letters, 10.1063/1.3544057, 98, 4, 2011.01, Single-crystalline-Ge (c-Ge) networks on insulator films formed on Si substrates are essential for integrating high-speed and multifunctional devices onto the Si-platform. Rapid-melting-growth of mesh-patterned amorphous-Ge is examined over large areas (500×250 μ m2). For squared-mesh-pattern, polycrystalline-Ge forms throughout most of the mesh, though c-Ge is obtained near (
180. Kohei Hamaya, Yuichiro Ando, Taizoh Sadoh, Masanobu Miyao, Source-drain engineering using atomically controlled heterojunctions for next-generation SiGe transistor applications, Japanese journal of applied physics, 10.1143/JJAP.50.010101, 50, 1, 2011.01, Using low-temperature molecular-beam epitaxy techniques on the (111) plane of Si or Ge, we can realize an atomically controlled Fe3Si/Si or Fe3Si/Ge heterojunction and simultaneously obtain DO3-ordered crystal structures of Fe3Si films. First, high-quality Fe3Si/Si(111) Schottky tunnel contacts enable us to inject and detect spin-polarized electrons in Si conduction channels at 180 K, where Fe3Si is a ferromagnetic spin injector and detector. This may lead to the accelerated development of next-generation Si-based spin metal-oxide-semiconductor field-effect transistors (MOSFETs). Next, for the atomically controlled Fe3Si/Ge(111) Schottky contacts, we find the unexpected suppression of the Fermi level pinning (FLP) effect. This indicates that there is an influence of extrinsic contributions such as dangling bonds and disorder on the strong FLP effect at metal/Ge interfaces. We expect that the Fe3Si/Ge(111) contacts can be used to control the Schottky barrier height of future ultra scaled Ge-channel MOSFETs. These two novel and interesting results are expected to form the basis of a key technology toward developing nextgeneration SiGe transistors..
181. Kohei Hamaya, Yuichiro Ando, Taizoh Sadoh, Source-drain engineering using atomically controlled heterojunctions for next-generation SiGe transistor applications (Selected topics in Applied physics
Technology evolution for silicon nano-electronics), Japanese Journal of Applied Physics, Part 2: Letters, 50, 1, 10101-1〜7, 2011.01.
182. Shota Kino, Yuki Nonomura, Akira Heya, Naoto Matsuo, Kazuhiro Kanda, Shuji Miyamoto, Sho Amano, Takayasu Mochizuki, Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Atom-migration effect during crystallization of a-SiGe films by SR soft x-ray irradiation, 18th International Display Workshops 2011, IDW 2011 Society for Information Display - 18th International Display Workshops 2011, IDW'11, 1, 659-662, 2011, We investigated a low-temperature crystallization of a- Si, a-Ge and a-Si1-xGex films by the synchrotron radiation (SR) soft X-ray irradiation at storage ring current of 25-220 mA. Crystallization of SiGe caused by the atomic migration during soft X-ray irradiation is effective as compared with the thermal annealing..
183. Jong Hyeok Park, Masashi Kurosawa, Naoyuki Kawabata, Masanobu Miyao, Taizoh Sadoh, Au-induced low-temperature (∼250°C) crystallization of Si on insulator through layer-exchange process, Electrochemical and Solid-State Letters, 10.1149/1.3562275, 14, 6, 2011, Au-induced crystallization of Si through a layer-exchange process has been investigated to achieve poly-Si films on insulators at low-temperatures (∼250°C). By annealing (250-350°C) of amorphous Si (a-Si)/Au stacked structures formed on insulators, the positions of Si/Au layers are inverted, and Au/poly-Si stacked structures are obtained. This Au-induced layer-exchange growth technique at low-temperatures (∼250°C) should be very useful to obtain poly-Si films on flexible substrates, which are employed as template layers for growth of high quality Si1-xGex (x: 0-1) films to realize flexible high-speed thin-film transistors and flexible high-efficiency solar cells..
184. Masashi Kurosawa, Taizoh Sadoh, Masanobu Miyao, Dehydrogenation-enhanced large strain (∼1.6%) in Si pillars covered by Si3N4 stress liners, Electrochemical and Solid-State Letters, 10.1149/1.3551465, 14, 4, 2011, High-strain Si-pillars are desirable for achieving high-speed three-dimensional transistors. The effects of postannealing (400-1150C) on strain-enhancement in Si-pillars covered with Si3N4 stress-liners are investigated. Before annealing, the Si3N 4 stress-liners induce strain in Si, where the direction of strain, which can be compressive or tensile, depends on the Si3N4 deposition parameters. After postannealing (800C), the strain becomes highly compressive, because of dehydrogenation-induced structural relaxation in Si 3N4 films. Consequently, compressive strains (1.6) are induced in the 200-nm-thick Si-pillars covered in 200-nm-thick Si 3N4 films after high temperature postannealing (1000-1150C). This strain-enhancement technique is useful for the realization of advanced high-speed three-dimensional transistors..
185. T. Sadoh, M. Miyao, High-mobility Ge on insulator (GOI) by SiGe mixing-triggered rapid-melting-growth, 4th SiGe, Ge, and Related Compounds: Materials, Processing and Devices Symposium - 218th ECS Meeting SiGe, Ge, and Related Compounds 4 Materials, Processing, and Devices, 10.1149/1.3487571, 409-418, 2010.12, High-quality orientation-controlled Ge on insulator (GOI) structures are essential to realize high-performance thin-film transistors (TFTs) and epitaxial templates for multifunctional 3-dimensional large-scale integrated circuits (3D-LSIs). We have investigated the Si-seeding rapid-melting process and demonstrated formation of giant Ge stripes with (100), (110), and (111) orientations on Si (100), (110), and (111) substrates, respectively, covered with SiO2 films. We revealed that crystallization is triggered by Si-Ge mixing in the seeding regions in this process. Based on this mechanism, we have proposed a novel technique to realize orientation-controlled Ge layers on transparent insulating substrates by using Si artificial micro-seeds with (100) and (111)-orientations. This achieved epitaxial growth of single crystalline (100) and (111)-oriented Ge stripes on quartz substrates. The transmission electron microscopy observations revealed no-defects in the laterally grown Ge regions. The Ge layers showed a high hole mobility exceeding 1100 cm 2.Vs owing to the high crystallinity. This novel SiGe mixing-triggered growth technique opens up the possibility of the next-generation TFTs and multifunctional 3D-LSIs..
186. Masanobu Miyao, Kaoru Toko, Masashi Kurosawa, Takanori Tanaka, Takashi Sakane, Yasuharu Ohta, Naoyuki Kawabata, Hiroyuki Yokoyama, Taizoh Sadoh, High-mobility defect-free Ge single-crystals by rapid melting growth on insulating substrates, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings, 10.1109/ICSICT.2010.5667440, 827-830, 2010.12, Single-crystal Ge films on insulating substrates are desired to achieve advanced 3-dimensional large-scale integrated circuits (3D-LSIs) and thin-film transistors (TFTs). We have developed the rapid-melting Ge growth seeded from Si substrates, which achieves giant Ge on insulator (GOI) structures with (100), (110), and (111) orientations. Driving force to initiate the lateral growth is clarified as the solidification temperature gradient originating from melting induced Si-Ge mixing. Combination with the artificial Si micro-seed technique and the rapid melting growth enables the single-crystal defect-free Ge on transparent insulating substrates. High hole mobility exceeding 1000 cm 2/Vs is also demonstrated..
187. Taizoh SADOH, Masanobu Miyao, Low-temperature (TENCON 2010: 2010 IEEE REGION 10 CONFERENCE, 2196-2198, 2010.11.
188. K. Toko, T. Tanaka, Y. Ohta, T. Sadoh, and M. Miyao, Defect-free Ge-on-insulator with (100) , (110), and (111) orientations by growth-directions -selected rapid-melting growth, Applied Physics Letters, 97, 15, 152101-1-3, 2010.10, 絶縁膜上に溶融法で形成したGe単結晶薄膜の結晶性に与えるSiシードの結晶方位の効果を系統的に解明した。成長と共にGe薄膜の結晶方位が回転する現象を明らかにすると共に、成長方向を適正化することにより回転を抑制する指針を明確化した。絶縁膜上におけるGe単結晶薄膜の高品位形成を実現する手法の確立であり、次世代LSIや次世代システムインディスプレイの実現を加速する重要な成果である。.
189. Kaoru Toko, Takanori Tanaka, Yasuharu Ohta, Taizoh Sadoh, Masanobu Miyao, Defect-free Ge-on-insulator with (100), (110), and (111) orientations by growth-direction-selected rapid-melting growth, Applied Physics Letters, 10.1063/1.3493184, 97, 15, 2010.10, Defect-free Ge-on-insulator (GOI) with various crystal orientations is essential to realize high-speed and multifunctional devices. Seeded rapid-melting growth of GOI is investigated as a function of seed-orientations and growth-directions. From (100)-oriented Si seeds, Ge growth with a (100) orientation propagates for all growth-directions, however, rotational-growth is observed for some directions when Si seeds with (110) and (111) orientations are used. Such rotational-growth can be completely suppressed by selecting the growth-directions deviating from 〈 111 〉 by more than 35°. Transmission-electron-microscopy observation shows no-stacking fault and no-dislocations. Consequently, defect-free GOI with (100), (110), and (111) orientation is achieved, which demonstrates high-hole mobility (∼1100 cm2/V s)..
190. Kaoru Toko, Takanori Tanaka, Yasuharu Ohta, 佐道 泰造, Masanobu Miyao, 佐道 泰造, 宮尾 正信, 都甲 薫, Defect-free Ge-on-insulator with (100), (110), and (111) orientations by growth-direction-selected rapid-melting growth, Applied Physics Letters, 97, 15, 152101(1)-152101(3), 2010.10.
191. Masanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Relaxation mechanism of SiGe-on-insulator by oxidation-induced Ge condensation with H+ irradiation and postannealing, Journal of the Electrochemical Society, 10.1149/1.3486089, 157, 11, H991-H996, 2010.10, Stress-relaxation phenomena in SiGe-on-insulator (SGOI) layers during the oxidation-induced Ge condensation process have been comprehensively investigated as a function of several parameters (SiGe thickness, Ge fraction, oxidation temperature, and oxidation time). Final SiGe thickness-dependent relaxation ratio was found, i.e., complete relaxation for thick (15 cm -2) and postannealing (1200°C) has been examined to enhance the stress-relaxation. This achieved a high relaxation ratio (70%) and a low defect density (1× 106 cm-2) in the ultrathin (28 nm) SGOI with a Ge fraction of 30%. A local-area slipping model was proposed, where SiGe/ SiO2 interface slipping occurred during postannealing in local areas surrounded by cross hatches. This model quantitatively explained the enhanced relaxation ratio of the SGOI layers obtained by the Ge condensation method combined with H+ irradiation and postannealing..
192. Taizoh SADOH, Masanobu Miyao, Relaxation Mechanism of SiGe-on-Insulator by Oxidation-Induced Ge Condensation with H(+) Irradiation and Postannealing, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 10.1149/1.3486089, 157, 11, H991-H996, 2010.09.
193. Junhua Hu, Akihiko Kato, Taizoh Sadoh, Yoshuhito Maeda, Konstantin N. Galkin, Taras V. Turchin, Nikolay G. Galkin, Hirokazu Tatsuoka, Erratum
Optical and electronic properties of M2Si (M = Mg, Ca and Sr) grown by reactive deposition technique (International Journal of Modern Physics B), International Journal of Modern Physics B, 10.1142/S0217979210056657, 24, 23, 2010.09.
194. Kaoru Toko, Masashi Kurosawa, Hiroyuki Yokoyama, Naoyuki Kawabata, Takashi Sakane, Yasuharu Ohta, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, (100) orientation-controlled Ge giant-stripes on insulating substrates by rapid-melting growth combined with Si micro-seed technique, Applied Physics Express, 10.1143/APEX.3.075603, 3, 7, 2010.07, Orientation-controlled single-crystal Ge stripes on insulating substrates are desired to achieve high-performance thin-film transistors. The rapidmelting growth process of amorphous Ge has been examined by using polycrystalline Si islands as the growth seed. Rotational growth is found for Ge stripes initiated from (110) and (111) orientations, however, the lateral-growth initiated from the (100) orientation propagates continuously keeping its orientation. Based on these findings, an advanced rapid-melting growth method is developed by combining with the Si(100) micro-seed technique. This enables single-crystal Ge(100) giant-stripes with 400 μm length on insulating substrates. High hole mobility exceeding 1000 cm2 V-1 s-1 is also demonstrated..
195. Junhua Hu, Akihiko Kato, Taizoh Sadoh, Yoshuhito Maeda, K. N. Galkin, T. V. Turchin, Hirokazu Tatsuoka, Optical and electronic properties of M2Si (M = Mg,Ca, Sr) grown by reactive deposition technique, International Journal of Modern Physics B, 10.1142/S0217979210056050, 24, 19, 3693-3699, 2010.07, Single phase M2Si (M = Mg, Ca, Sr) silicides were grown using Si substrates, by thermal treatment of the substrates in the vapors of the metallic sources, M, and the electronic structures and optical property of the silicides were investigated. The electronic band structures of the silicides were calculated using the first-principles total-energy calculation program in pseudopotential schemes with plane-wave basis functions. The calculated optical reflectance spectra were also deduced from the theoretical band structures, and roughly agreed with the experimental results except for the low reflectance intensity around 2 eV. This suggests that the energy band gap of the silicides roughly agree with the calculated values of 0.15, 0.31 and 0.35 eV for Mg 2Si, Ca2Si and Sr2Si, respectively, within the underestimation of the band gap by the density functional calculation. The optical property of the silicides is also discussed in relation to the morphological structures of the silicides..
196. K. Toko, M. Kurosawa, H. Yokoyama, N. Kawabata, T. Sakane, Y. Ohta, T. Tanaka, T. Sadoh, and M. Miyao, (100) Orientation-Controlled Ge Giant-Stripes on Insulating Substrates by Rapid-Melting Growth Combined with Si Micro-Seed Technique , Applied Physics Express, 3, 075603, 2010.06, 非晶質Geの溶融成長法に金属誘起成長法によるSi結晶形成技術を重畳し、無定型な絶縁性基板上に結晶方位の制御されたGe単結晶薄膜を実現した。人工単結晶技術の創成であり、次世代システムインディスプレイの実現を可能とする重要な成果である。.
197. M. Itakura, S. Masumori, N. Kuwano, H. Kanno, T. Sadoh,.and M. Miyao, Microscopic studies of metal-induced lateral crystallization in SiGe, Applied Physics Letters, Vol.96, pp.182101-1-3, 2010.05, 金属誘起横方向成長法により絶縁膜上に形成したSiGe多結晶薄膜の微細構造を電子顕微鏡法により解明した。成長を駆動する金属化合物がGe濃度に依存して変化する事、その結果、結晶成長の様態もGe濃度に応じて変化する事を明らかにした。システムインディスプレイや高効率薄膜太陽電池の作製プロセスを確立する上で有用な知見である。.
198. K. Yamane, K. Hamaya, Y. Ando, Y. Enomoto, K. Yamamoto, T. Sadoh, and M. Miyao, Effect of atomically controlled interfaces on Fermi-level pinning at metal/Ge interfaces, Applied Physics Letters, Vol.96, pp.162104-1-3, 2010.04, 金属/Geショットキー障壁のフェルミ準位ピニングに与える界面原子配列の効果を検討した。界面構造を原子層レベルで制御すると、フェルミ準位ピニングが弱化することを明らかにした。Geをチャネルを用いた次世代トランジスタの実現を加速する有用な成果である。.
199. K. Toko, T. Tanaka, T. Sadoh, and M. Miyao, High-Hole-Mobility Single-Crystalline Ge Thin Films Formed on Insulating Substrates by SiGe Mixing-Triggered Directional Melting Growth, Japanese Journal of Applied Physics, 49, 4, 04DA08, 2010.04.
200. K. Yamane, K. Hamaya, Y. Ando, Y. Enomoto, Keisuke Yamamoto, Taizoh Sadoh, M. Miyao, Effect of atomically controlled interfaces on Fermi-level pinning at metal/Ge interfaces, Applied Physics Letters, 10.1063/1.3368701, 96, 16, 2010.04, We study electrical properties of metal/Ge contacts with an atomically controlled interface, and compare them with those with a disordered one, where atomically controlled interfaces can be demonstrated by using Fe3 Si/Ge (111) contacts. We find that the Schottky barrier height of Fe3 Si/n-Ge (111) contacts is unexpectedly lower than those induced by the strong Fermi-level pinning at other metal/n-Ge contacts. For Fe3 Si/p-Ge (111) contacts, we identify clear rectifying behavior in I-V characteristics at low temperatures, which is also different from I-V features due to the strong Fermi-level pinning at other metal/p-Ge contacts. These results indicate that there is an extrinsic contribution such as dangling bonds to the Fermi-level pinning effect at the directly connected metal/Ge contacts..
201. Takashi Sakane, Kaoru Toko, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Formation of Defect-Free Ge Island on Insulator by Ni-Imprint Induced Si Micro-Seeding Rapid Melting, IEICE technical report, 110, 15, 53-57, 2010.04, Liquid-phase epitaxial growth of Ge islands on insulator (GOI) using Ni-imprint-induced Si (111) micro-crystal seeds (〜1 μmφ) is proposed. As a result, single-crystalline GOI (111) structures with large area (〜10 μmφ) are realized. The Raman measurements show that the tensile strain (〜0.2 %) which enhances carrier mobility is induced in the growth regions. Moreover, the transmission electron microscopy observations reveal no defects in the grown regions. This new method is can be employed to realize the multi-functional SiGe-LSI..
202. Takashi Sakane, Kaoru Toko, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Formation of Defect-Free Ge Island on Insulator by Ni-Imprint Induced Si Micro-Seeding Rapid Melting, IEICE technical report, 110, 16, 53-57, 2010.04, Liquid-phase epitaxial growth of Ge islands on insulator (GOI) using Ni-imprint-induced Si (111) micro-crystal seeds (〜1μmφ) is proposed. As a result, single-crystalline GOI (111) structures with large area (〜10μmφ) are realized. The Raman measurements show that the tensile strain (-0.2 %) which enhances carrier mobility is induced in the growth regions. Moreover, the transmission electron microscopy observations reveal no defects in the grown regions. This new method is can be employed to realize the multi-functional SiGe-LSI..
203. Kaoru Toko, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, High-hole-mobility single-crystalline ge thin films formed on insulating substrates by SiGe mixing-triggered directional melting growth, Japanese journal of applied physics, 10.1143/JJAP.49.04DA08, 49, 4 PART 2, 2010.04, The development of high-carrier-mobility Ge-channel thin-film transistors (TFTs) is desired to realize advanced system-in-displays. To achieve the development of single-crystalline Ge films on insulating substrates, we have developed the directional melting growth process of amorphous Ge by using polycrystalline Si islands as growth seeds. Defect-free single-crystalline Ge stripes of 400 mm length are realized, and high-holemobilities of more than 1000 cm2 V-1 s-1 are demonstrated in the entire lateral growth region. This method opens up the possibility of fabricating high-speed Ge-channel TFTs for system-in-displays..
204. Crystal Orientation Dependent Growth Features of Ge-on-Insulator by SiGe Mixing Triggered Melting Process.
205. Al-Induced Crystallization of SiGe thin-films on glass and its growth mechanism.
206. T. Tanaka, K. Toko, T. Sadoh, M. Miyao, High Quality Single-Crystalline Ge-Rich SiGe on Insulator Structures by Si-doping Controlled Rapid Melting Growth, Applied Physics Express, 3, 3, 031301, 2010.03, 絶縁膜上における非晶質SiGeの溶融成長を検討し、高Ge濃度を有する高品質SiGe単結晶薄膜を実現した。顕微ラマン分光法を用いてSiGe膜中のSi濃度分布を評価し、固化時のGe偏析が生じていることを明らかにした。更に、純Ge膜の溶融成長で問題となるGe凝集が、Si添加により抑制できることを明らかにした。次世代LSIプロセスとして有用な成果である。.
207. Takanori Tanaka, Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, High quality single-crystalline Ge-rich SiGe on insulator structures by Si-doping controlled rapid melting growth, Applied Physics Express, 10.1143/APEX.3.031301, 3, 3, 2010.03, Ge-rich SiGe-on-insulator (SGOI) is required for high speed transistors. We investigated the effects of Si doping on the growth characteristics of SGOI produced by rapid melting growth. The aggregation of Ge, observed for pure Ge wide stripes (>5 μm), can be suppressed by Si doping. Si doping causes rotational growth of SiGe stripes, but this can be controlled using lower Si doping concentrations and growth temperatures. Singlecrystalline Ge-rich SGOI (Ge concentration > 96%) that is wide enough (15 μm) for device fabrication is thus produced. Transmission electron microscopy reveals that the Ge-rich SGOI does not contain dislocations or stacking faults..
208. Masanobu Miyao, Kohei Hamaya, Taizoh SADOH, Hiroyoshi Itoh, Yoshihito Maeda, Molecular beam epitaxial growth of ferromagnetic Heusler alloys for group-IV semiconductor spintronic devices, THIN SOLID FILMS, 10.1016/j.tsf.2009.10.106, 518, S273-S277, 2010.01.
209. Kaoru TOKO, Takanori Tanaka, Taizoh SADOH, Masanobu Miyao, Formation of single-crystalline Ge stripes on quartz substrates by SiGe mixing-triggered liquid-phase epitaxy, THIN SOLID FILMS, 10.1016/j.tsf.2009.10.083, 518, S179-S181, 2010.01.
210. Taizoh SADOH, Giant growth of single crystalline Ge on insulator by seeding lateral liquid-phase epitaxy, THIN SOLID FILMS, 10.1016/j.tsf.2009.10.081, 518, S170-S173, 2010.01.
211. Taizoh SADOH, Al-induced low-temperature crystallization of Si-1 (-) Ge-x(x) (0 THIN SOLID FILMS, 10.1016/j.tsf.2009.10.082, 518, S174-S178, 2010.01.
212. Taizoh SADOH, Masanobu Miyao, Liquid-phase epitaxial growth of Ge island on insulator using Ni-imprint-induced Si crystal as seed, THIN SOLID FILMS, 10.1016/j.tsf.2009.10.084, 518, S181-S185, 2010.01.
213. Taizoh SADOH, Epitaxial growth of a full-Heusler alloy CO2FeSi on silicon by low-temperature molecular beam epitaxy, THIN SOLID FILMS, 10.1016/j.tsf.2009.10.107, 518, S278-S280, 2010.01.
214. Masashi Kurosawa, Taizoh Sadoh, Masanobu Miyao, Al-induced low-temperature crystallization of Si1 - xGex (0 Thin Solid Films, 10.1016/j.tsf.2009.10.082, 518, 6 SUPPL. 1, S174-S178, 2010.01, Low-temperature (1 - xGex (0 1 - xGex/Al/quartz stacked structures. It was found that the crystal growth features drastically depends on the Ge fraction. Change of the stacked structures to Al/poly-SiGe/quartz uniformly occurred by annealing for low Ge fractions ( 50%). To realize uniform crystallization for high Ge fractions, effects of interfacial Al oxide layer thickness on layer exchange were investigated. By optimizing the oxide layer thickness, the layer exchange process could be controlled, and thus, homogeneous crystallization with large grain sizes (> 20 μm) was achieved for samples with the whole Ge fractions. These findings will be a powerful tool for realizing large poly-SiGe on insulating films for high-efficiency thin-film solar cells and system-in-displays..
215. S. Yamada, K. Yamamoto, K. Ueda, Y. Ando, K. Hamaya, T. Sadoh, M. Miyao, Epitaxial growth of a full-Heusler alloy Co2FeSi on silicon by low-temperature molecular beam epitaxy, Thin Solid Films, 10.1016/j.tsf.2009.10.107, 518, 6 SUPPL. 1, S278-S280, 2010.01, For electrical spin injection and detection of spin-polarized electrons in silicon, we explore highly epitaxial growth of ferromagnetic full-Heusler-alloy Co2FeSi on silicon substrates using low-temperature molecular beam epitaxy (LT-MBE). Although in-situ reflection high energy electron diffraction images clearly show two-dimensional epitaxial growth for growth temperatures (TG) of 60, 130, and 200 °C, cross-sectional transmission electron microscopy experiments reveal that there are single-crystal phases other than Heusler alloys near the interface between Co2FeSi and Si for TG = 130 and 200 °C. On the other hand, almost perfect heterointerfaces are achieved for TG = 60 °C. These results and magnetic measurements indicate that highly epitaxial growth of Co2FeSi thin films on Si is demonstrated only for TG = 60 °C..
216. Kaoru Toko, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Formation of single-crystalline Ge stripes on quartz substrates by SiGe mixing-triggered liquid-phase epitaxy, Thin Solid Films, 10.1016/j.tsf.2009.10.083, 518, 6 SUPPL. 1, 2010.01, A rapid melting growth technique of amorphous Ge has been developed by using polycrystalline Si islands as growth-seed. High quality single-crystal Ge stripes with 400 μm length, dominantly (100) oriented, are obtained on quartz substrate, which provides a high carrier mobility of 1040 cm2/Vs. This technique is expected to be useful to realize Ge-channel thin film transistors with high carrier mobility..
217. T. Tanaka, M. Tanaka, M. Itakura, T. Sadoh, M. Miyao, Giant growth of single crystalline Ge on insulator by seeding lateral liquid-phase epitaxy, Thin Solid Films, 10.1016/j.tsf.2009.10.081, 518, 6 SUPPL. 1, S170-S173, 2010.01, Giant growth (~ 400 μm length) of single crystalline Ge on insulator (GOI) with (100), (110), and (111) orientations is demonstrated by lateral liquid-phase epitaxy (L-LPE) using Si(100), (110), and (111) substrates, respectively, as the seeds. The micro-probe Raman measurements and transmission electron microscopy observations showed that the growth regions were of very high crystal quality and were defect free. In addition, lateral diffusion of Si atoms was observed only in the regions near the seeding edges (~ 100 μm). Based on these findings, the trigger for the giant growth of the high-quality GOI was discussed considering the solidification temperature gradient due to Si-Ge mixing and the thermal gradient due to the latent heat at the growth front..
218. Kaoru Toko, Takashi Sakane, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Liquid-phase epitaxial growth of Ge island on insulator using Ni-imprint-induced Si crystal as seed, Thin Solid Films, 10.1016/j.tsf.2009.10.084, 518, 6 SUPPL. 1, S182-S185, 2010.01, Liquid-phase epitaxial growth (LPE) of Ge islands on insulator (GOI) using Ni-imprint-induced Si (111) crystal seeds (~ 1 μmφ{symbol}) is investigated. By optimizing cap and bottom SiO2 layer thickness, single-crystalline GOI (111) structures (~ 10 μmφ{symbol}) are realized. The Raman peaks due to Ge-Ge bonds of the growth regions reveal that the full width at half maximum (FWHM) is equal to that of single-crystalline Ge bulk wafers (3.2 cm- 1). This result demonstrates the very high crystal quality of the growth regions..
219. M. Miyao, K. Hamaya, T. Sadoh, H. Itoh, Y. Maeda, Molecular beam epitaxial growth of ferromagnetic Heusler alloys for group-IV semiconductor spintronic devices, Thin Solid Films, 10.1016/j.tsf.2009.10.106, 518, 6 SUPPL. 1, S273-S277, 2010.01, Our recent progress in low-temperature molecular beam epitaxy of ferromagnetic Heusler alloys on group-IV-semiconductor is reviewed. By optimizing beam flux ratio (Fe:Si = 3:1) and growth temperature (130 °C), a high-quality hybrid structure, i.e., DO3-type Fe3Si on Ge with an atomically flat interface, was achieved. Excellent magnetic properties with a small coercivity (0.9 Oe) and electrical properties with Schottky barrier height of 0.52 eV were obtained. The ratio of the on-current to the off-current of Schottky diode was on the order of 104. In addition, heteroepitaxy of half-metallic alloys (Fe3 - XMnxSi(X = 0.6-1.4)) on Ge substrates was demonstrated. These results will be a powerful tool to open up group-IV-semiconductor spin-transistors, consisting of Ge channel with high mobility and ferromagnetic source/drain for spin-injection..
220. Jong Hyeok Park, Masashi Kurosawa, Naoyuki Kawabata, Masanobu Miyao, Taizoh Sadoh, Low-temperature (≤250°C) crystallization of Si on insulating substrate by gold-induced layer-exchange technique, 2010 IEEE Region 10 Conference, TENCON 2010 TENCON 2010 - 2010 IEEE Region 10 Conference, 10.1109/TENCON.2010.5686705, 2196-2198, 2010, The gold-induced crystallization technique has been investigated to achieve poly-Si films on insulators at low temperatures (≤250°C). By annealing (∼250°C) the amorphous Si (a-Si)/Au stacked structures formed on insulating substrates, the positions of Si/Au layers are inverted, and Au/poly-Si stacked structures are obtained. On the other hand, by annealing (>400°C) the structures, mixed layers of c-Si and Au are obtained. These growth phenomena are explained on the basis of the eutectic reaction. This gold-induced layer-exchange growth technique at low-temperatures (∼250°C) is very useful to obtain poly-Si on flexible substrates, which are essential to realize flexible high-speed thin-films transistors and high-efficiency solar cells..
221. Masaru Itakura, Shunji Masumori, Noriyuki Kuwano, Hiroshi Kanno, Taizoh Sadoh, Masanobu Miyao, Microscopic studies of metal-induced lateral crystallization in SiGe, Applied Physics Letters, 10.1063/1.3422477, 96, 18, 2010, Metal-induced lateral crystallization (MILC) in amorphous SiGe films on insulating substrates is an important technique for future thin-film-transistor fabrication. Growth features of low and/or high temperature MILC have been investigated based on the microscopic crystal observation. In the initial stage of MILC, precipitation of hemispherical Ni(Si,Ge) with orthorhombic structure is found at the growth front. This leads to the formation of long needlelike SiGe crystallites. And then, the spontaneous nucleation and growth of SiGe take place around the needlelike crystals, resulting in long rod-shaped SiGe crystals. In this way, the role of Ge atoms on MILC is clarified..
222. T. Tanaka, T. Sadoh, M. Kurosawa, M. Tanaka, M. Yamaguchi, S. Suzuki, T. Kitamura, and M. Miyao, Stress-enhancement in free-standing Si pillars through nonequilibrium dehydrogenation in SiN:H stress-liners by ultraviolet light irradiation, Applied Physics Letters, Vol.95, pp.262103-1-3, 2009.12.
223. Takanori Tanaka, Taizoh Sadoh, Masashi Kurosawa, Masanori Tanaka, Masanori Yamaguchi, Shinji Suzuki, Tokuhide Kitamura, Masanobu Miyao, Stress-enhancement in free-standing Si pillars through nonequilibrium dehydrogenation in SiN:H stress-liners by ultraviolet light irradiation, Applied Physics Letters, 10.1063/1.3278596, 95, 26, 2009.12, Tensile-strained Si pillars are desired to achieve three-dimensional (3D) transistors with high speed. Effects of ultraviolet (UV) light irradiation on tensile strains in Si pillars covered with SiN:H stress-liners are investigated as a function of the wavelength (172-436 nm). Tensile-stress enhancement is found at specific wavelengths (200-330 nm) under low-temperature heating (400 °C), where dehydrogenation in SiN:H is clearly detected by infrared absorption measurements. On the other hand, equilibrium dehydrogenation by high-temperature heating (>700 °C) without UV-irradiation does not cause tensile-stress enhancement. This nonequilibrium dehydrogenation at low temperatures opens up possibility of 3D transistors with high carrier mobility..
224. Kaoru Toko, Takashi Sakane, Takanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Defect-free single-crystal Ge island arrays on insulator by rapid-melting-growth combined with seed-positioning technique, Applied Physics Letters, 10.1063/1.3231925, 95, 11, 2009.10, Single-crystal Ge island arrays on SiO2 /Si structures are desired to merge advanced Ge devices into Si large scale integrated circuit (LSI). We have developed the rapid-melting-growth process of amorphous Ge by using Ni-imprint-induced Si (111) fine crystals (∼1 μmφ) as the growth seed. Arrays of (111) oriented single-crystal Ge islands with device size (∼10 mu;m φ) are uniformly fabricated on SiO2 /Si substrates. The cross-sectional transmission electron microscopy observation reveals that Ge islands include no dislocation or stacking fault. This method opens up a possibility to achieve hybrid SiGe-LSI with multifunctions..
225. Masashi Kurosawa, Naoyuki Kawabata, Taizoh Sadoh, Masanobu Miyao, Orientation-controlled Si thin films on insulating substrates by Al-induced crystallization combined with interfacial-oxide layer modulation, Applied Physics Letters, 10.1063/1.3241076, 95, 13, 2009.10, Orientation-controlled Si films on transparent insulating substrates are strongly desired to achieve high-efficiency thin-film solar cells. We have developed the interfacial-oxide layer modulated Al-induced low temperature (
226. Masanobu Miyao, Kaoru Toko, Takanori Tanaka, Taizoh Sadoh, High-quality single-crystal Ge stripes on quartz substrate by rapid-melting-growth, Applied Physics Letters, 10.1063/1.3182795, 95, 2, 2009.07, Single-crystal Ge on a transparent insulating substrate is desired to achieve advanced thin-film transistors (TFTs) with high speed operation. We have developed the rapid-melting-growth process of amorphous Ge by using polycrystalline Si islands as the growth seed. High-quality and dominantly (100)-oriented single-crystal Ge stripes with 400 μm length are demonstrated on quartz substrates. The temperature dependence of the electrical conductivity shows a high hole mobility of 1040 cm2 /V s. This method opens up a possibility of Ge-channel TFT with the high carrier mobility..
227. Kaoru Toko, Isakane Nakao, Taizoh Sadoh, Takashi Noguchi, Masanobu Miyao, Electrical properties of poly-Ge on glass substrate grown by two-step solid-phase crystallization, Solid-State Electronics, 10.1016/j.sse.2009.08.002, 53, 11, 1159-1164, 2009.06, The carrier concentration and mobility of intrinsic holes in poly-Ge films grown by solid-phase crystallization (SPC) were investigated. The two-step SPC method, consisting of low-temperature annealing (425 °C) to obtain large grains and subsequent high-temperature annealing (500 °C) to decrease defects, is proposed. The hole concentration remarkably decreased from 1 × 1018 to 5 × 1017cm-3 with keeping a high-mobility (140 cm2/Vs) after post-annealing..
228. Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Indentation-induced low-temperature solid-phase crystallization of Si 1-xGex (x=0-1) on insulator, Applied Physics Letters, 10.1063/1.3136857, 94, 19, 2009.06, Indentation-induced solid-phase crystallization (SPC) was proposed to achieve low-temperature growth of Si1-xGex (x=0-1) on insulator. Crystal nucleation was enhanced at the indented positions due to the stress effect, which triggered the lateral SPC. As a result, large Si 1-xGex (x=0-1) crystal regions (>2 μm) were achieved on insulator at low temperatures (
229. T. Sadoh, T. Tanaka, Y. Ohta, K. Toko, M. Miyao, Lateral liquid-phase epitaxy of Ge on insulator using Si seed for ultrahigh speed transistor, IEICE technical report, 109, 98, 177-180, 2009.06, Recent our progress in the lateral liquid-phase epitaxy of Ge-on-insulator (GOI) using Si seeds has been reviewed. Giant single-crystalline GOI(100) structures with 〜400μm length are obtained using Si(100), (110), and (111) seeds. The very long growth is explained on the basis of the solidification temperature gradient due to Si-Ge mixing around the seeding area and the thermal gradient due to the latent heat around the solid/liquid interface at the growth front. In addition, growth with rotating crystal orientations observed for samples with Si(111) seeds is investigated. The rotation angle depends on the growth direction in plane to the surface. The rotation angle changes with a 60° period and becomes 0° and about 30° for and directions, respectively. The rotating growth is explained on the basis of the bonding strength between lattice planes at the growth front..
230. Y. Ando, K. Hamaya, K. Kasahara, Y. Kishi, K. Ueda, K. Sawano, T. Sadoh, M. Miyao, Electrical injection and detection of spin-polarized electrons in silicon through an Fe3 Si/Si Schottky tunnel barrier, Applied Physics Letters, 10.1063/1.3130211, 94, 18, 2009.05, We demonstrate electrical injection and detection of spin-polarized electrons in silicon (Si) using epitaxially grown Fe3 Si/Si Schottky-tunnel-barrier contacts. By an insertion of a δ -doped n + -Si layer (∼ 1019 cm-3) near the interface between a ferromagnetic Fe3 Si contact and a Si channel (∼ 1015 cm-3), we achieve a marked enhancement in the tunnel conductance for reverse-bias characteristics of the Fe3 Si/Si Schottky diodes. Using laterally fabricated four-probe geometries with the modified Fe3 Si/Si contacts, we detect nonlocal output signals that originate from the spin accumulation in a Si channel at low temperatures..
231. Y. Ando, K. Hamaya, K. Kasahara, K. Ueda, Y. Nozaki, Taizoh Sadoh, Y. Maeda, Kimihide Matsuyama, M. Miyao, Magnetic properties of epitaxially grown Fe3 Si/Ge (111) layers with atomically flat heterointerfaces, Journal of Applied Physics, 10.1063/1.3065985, 105, 7, 2009.04, We study magnetic properties of epitaxial Fe3 Si layers grown on Ge(111) with atomically flat interfaces. An unexpected uniaxial magnetic anisotropy is observed in the film plane for all as-grown samples, and the direction of the uniaxial easy axis is different for each of these samples. By postgrowth annealing, surprisingly, the random orientation of the uniaxial easy axis is aligned to a direction along about [0 1- 1], together with a reduction in the saturation magnetization. We discuss a possible mechanism of the variation in the magnetic properties after the annealing..
232. Low-Temperature Growth of Silicon-germanium on Glass by Aluminum Induced Layer Exchange.
233. K. Toko, T. Sadoh, and M. Miyao , Position-Controlled Growth of SiGe Crystal Grains on Insulator by Indentation-Induced Solid-Phase Crystallization , Japanese Journal of Applied Physics, Vol.48, No.3, B007-1-3, 2009.03.
234. M.Kurosawa, Y. Tsumira, T. Sadoh, and M. Miyao , Interfacial-Oxide Layer Controlled Al-Induced Crystallization of Si1-xGex (x: 0-1) on Insulating Substrate , Japanese Journal of Applied Physics, Vol.48, No.3, B002-1-3, 2009.03.
235. T. Sadoh, H. Ohta, and M. Miyao, Effects of Si Layer Thickness on Solid-Phase Crystallization of Stacked Ge/Si/SiO2 Structures, Japanese Journal of Applied Physics, Vol.48, No.3, B004-1-3, 2009.03.
236. Taizoh Sadoh, Hiroki Ohta, Masanobu Miyao, Effects of Si layer thickness on solid-phase crystallization of stacked Ge/Si/SiO2 structures, Japanese journal of applied physics, 10.1143/JJAP.48.03B004, 48, 3 PART 3, 2009.03, The effects of amorphous-Si (a-Si) layer (thickness: 0-20 nm) insertion on low-temperature (10 μm) a-Si layer, incubation time significantly decreased and saturated crystallinity decreased. Grain sizes obtained at 425 °C were ̃200 and ̃50nm upon the insertion of a-Si layers of 3 and 20 nm, respectively, which agrees with the results for saturated crystallinity. Moreover, upon the insertion of an intermediate-thickness (5-7 nm) a- Si layer, the nucleation rate and saturated crystallinity increased. These phenomena were explained on the basis of the Si-concentration profiles at interfaces. Thus, interface modulation is effective for realizing large-grain polycrystalline Ge..
237. Taizoh Sadoh, Hiroki Ohta, Masanobu Miyao, Effects of Si layer thickness on solid-phase crystallization of stacked Ge/Si/SiO2 structures (Special issue
Active-matrix flatpanel displays and devices: TFT technologies and FPD materials), Japanese Journal of Applied Physics, Part 2: Letters, 48, 3, 03B004-1〜3, 2009.03.
238. Masashi Kurosawa, Yoshitaka Tsumura, Taizoh Sadoh, Masanobu Miyao, Interfacial-oxide layer controlled Al-induced crystallization of Si 1-xGex (x
0-1) on insulating substrate, Japanese journal of applied physics, 10.1143/JJAP.48.03B002, 48, 3 PART 3, 2009.03, The effects of interfacial oxide layers on the Al-induced crystallization (AIC) of amorphous Si1-xGex (x: 0-1) films on an insulator at a low temperature (
239. Masashi Kurosawa, Yoshitaka Tsumura, Taizoh Sadoh, Interfacial-oxide layer controlled Al-induced crystallization of Si1-xGe[x] (x
0-1) on insulating substrate (Special issue: Active-matrix flatpanel displays and devices: TFT technologies and FPD materials), Japanese Journal of Applied Physics, Part 2: Letters, 48, 3, 03B002-1〜5, 2009.03.
240. Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Position-controlled growth of sige crystal grains on insulator by indentation-induced solid-phase crystallization, Japanese journal of applied physics, 10.1143/JJAP.48.03B007, 48, 3 PART 3, 2009.03, Indentation-induced solid-phase crystallization (SPC) was proposed to achieve position-controlled crystal growth of Si1-xGex (x: 0-1) on insulating substrates. The results demonstrated that large SiGe grains (>2 μm) over the entire range of Ge fractions were grown at controlled positions without using catalyst metals. In addition, crystal regions were predominantly oriented in the (111) direction for samples with low Ge fractions (30%). Although the incubation times of indentation-induced SPC are longer than those of Ni-imprint-induced SPC, indentation-induced SPC is attractive because the crystal grains do not include metals which would degrade the transistor performance..
241. Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Position-controlled growth of SiGe crystal grains on insulator by indentation-induced solid-phase crystallization (Special issue
Active-matrix flatpanel displays and devices: TFT technologies and FPD materials), Japanese Journal of Applied Physics, Part 2: Letters, 48, 3, 03B007-1〜4, 2009.03.
242. Y. Ando, K. Hamaya, K. Kasahara, K. Ueda, Y. Nozaki, T. Sadoh, Y. Maeda, K. Matsuyama, and M. Miyao , Magnetic properties of epitaxially grown Fe3Si/Ge(111) layers with atomically at heterointerfaces , Journal Applied Physics, Vol.105, No.7, B102-1-3, 2009.02.
243. M. Kurosawa, Y. Tsumura, T. Sadoh and M. Miyao , Ge Fraction Dependence of Al-Induced Crystallization of SiGeat Low Temperatures , Journal of the Korean Physical Society, Vol. 54, No. 1, pp. 451-454, 2009.01.
244. Masashi Kurosawa, Yoshitaka Tsumura, Taizoh Sadoh, Masanobu Miyao, Ge fraction dependence of Al-induced crystallization of SiGe at low temperatures, Journal of the Korean Physical Society, 10.3938/jkps.54.451, 54, 1 PART 2, 451-454, 2009.01, Al-induced crystallization of Si1-xGex films (x=0-0.5) has been investigated by using amorphous SiGe (a-SiGe)/Al structures to realize polycrystalline SiGe (poly-Si) layers on in-sulating films at low temperatures. For the Si sample, poly-Si oriented to the (111) direction was formed after annealing (450 °C, 20 h) and inversion of Si/Al layers occurred completely. For Si1-xGex samples (x > 0), the layer exchange occurred in partial areas and poly-SiGe with the (111) orientation was grown only in the exchanged areas. The Ge fractions of the crystallized SiGe were almost the same as those of the initial a-SiGe layers. The diameters of the crystallized areas decreased from ∼100 (x = 0) to ∼30 μm (x = 0.5) with increasing Ge fraction. This technique can be utilized for the formation of high-quality poly-SiGe at low temperatures..
245. Masanobu Miyao, Yuichiro Ando, Koji Ueda, Kohei Hamaya, Yukio Nozaki, Taizoh Sadoh, Kimihide Matsuyama, Kazumasa Narumi, Yoshihito Maeda, Atomically-controlled Fe3Si/Ge hybrid structures for group-IV-semiconductor spin-transistor application, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology, ICSICT 2008 ICSICT 2008 - 2008 9th International Conference on Solid-State and Integrated-Circuit Technology Proceedings, 10.1109/ICSICT.2008.4734647, 688-691, 2008.12, Recent our progress in low temperature molecular beam epitaxy of magnetic silicide (Fe3Si) on group-IV-semiconductor (Ge) was reviewed. By optimizing beam flux ratio (Fe:Si=3:l) and growth temperature (130 °C), a high quality hybrid structure, i.e., DO3-type Fe3Si on Ge with an atomically flat interface, was achieved. Excellent magnetic properties with a small coercivity (0.8 Oe) and electrical properties with Schottky barrier height of 0.56 eV were obtained. The ratio of the on-current to the off-current of Schottky diode was the order of 104. These results will be a powerful tool to open up group-IV-semiconductor spin-transistors, consisting of Ge channel with high mobility and Fe3Si source/drain for spin-injection..
246. Yuji Kishi, Mamoru Kumano, Koji Ueda, Taizoh Sadoh, Masanobu Miyao, Characterization of Fe3Si/Si schottky contact for future spin-transistor, 3rd SiGe, Ge, and Related Compounds: Materials, Processing and Devices Symposium - 214th ECS Meeting ECS Transactions - SiGe, Ge, and Related Compounds 3 Materials, Processing, and Devices, 10.1149/1.2986783, 277-280, 2008.12, The electrical characteristics of epitaxially grown Fe3Si/Si structures were investigated. From the I-V and C-V measurements, excellent Shottky barrier characteristics (n=1.0, φ))n=0.62eV) were demonstrated. Moreover, the electrical characteristics did not deteriorate after post-annealing (400°C, 1 h), which guaranteed the thermal stability of Fe3Si/Si structures up to 400°C..
247. M. Tanaka, T. Tanaka, T. Sadoh, J. Morioka, T. Kitamura, M. Miyao, Enhancement of local strain in Si microstructure by oxidation induced Ge condensation, 3rd SiGe, Ge, and Related Compounds: Materials, Processing and Devices Symposium - 214th ECS Meeting ECS Transactions - SiGe, Ge, and Related Compounds 3 Materials, Processing, and Devices, 10.1149/1.2986768, 189-192, 2008.12, Effects of oxidation induced Ge condensation on local strains in Si microstructures induced by the SiGe stressor were investigated. The strain ratio in the Si microstructures increased by the Ge condensation, due to piled-up Ge atoms at SiO2/SiGe interfaces. However, the strains were relaxed by defect generation, if the concentration of piled-up Ge exceeded a critical value (∼40%). Thus, a possibility to enhance local strains by oxidation induced Ge condensation was demonstrated..
248. T. Sadoh, K. Toko, M. Miyao, Formalion of SiGe quasi-single crystal grain on insulator by indentation-induced solid-phase crystallization, 3rd SiGe, Ge, and Related Compounds: Materials, Processing and Devices Symposium - 214th ECS Meeting ECS Transactions - SiGe, Ge, and Related Compounds 3 Materials, Processing, and Devices, 10.1149/1.2986773, 219-222, 2008.12, Formation of SiGe quasi-single crystal grains on insulator by the indentation-induced solid-phase crystallization has been investigated. The incubation time for nucleation was significantly reduced by the indentation. As a result, large (∼2 (.irn) crystal grains were realized at controlled positions for samples with all Ge fractions. This method is expected to be useful for realization of the 3D-ULSIs and system-in-displays..
249. Koji Ueda, Yuichiro Ando, Kenji Yamamoto, Mamoru Kumano, Kohei Hamaya, Taizoh Sadoh, Kazumasa Nammi, Yoshihito Maeda, Masanobu Miyao, Low temperature epitaxial growth of full heusler alloy Fe2MnSi on Ge(111) substrates for spintronics application, 3rd SiGe, Ge, and Related Compounds: Materials, Processing and Devices Symposium - 214th ECS Meeting ECS Transactions - SiGe, Ge, and Related Compounds 3 Materials, Processing, and Devices, 10.1149/1.2986782, 273-276, 2008.12, Low temperature epitaxial growth of the full Heusler alloy Fe 2MnSi layers on Ge(l 11) substrates has been investigated. RBS measurements revealed that good crystallinity of Fe2MnSi layers was realized at 200°C. In addition, a TEM image of a Fe2MnSi layer grown at 200°C demonstrated a very sharp interface. Moreover, identical symmetrical structures of electron diffraction patterns were obtained from the Fe2MnSi layer and the Ge substrate, which confirmed formation of a single crystalline epitaxial Fe2MnSi layer on Ge. These results will be very attractive for Si-based spintronics applications..
250. M. Tanaka, T. Ohka, T. Sadoh, M. Miyao, Comprehensive study of low temperature (<1000 °C) oxidation process in SiGe/SOI structures , Thin Solid Films , Vol.517, No.1, pp251-253 , 2008.11.
251. T. Sadoh , M. Kurosawa, M. Kimura, K. Ueda , M. Koyanagi, M. Miyao, Low-temperature oriented growth in [CoPt/MgO]n multi-layer , Thin Solid Films , Vol.517, No.1, pp430-433 , 2008.11.
252. M. Miyao , K. Ueda, Y. Ando, M. Kumano, T. Sadoh, K. Narumi, Y. Maeda , Atomically controlled hetero-epitaxy of Fe3Si/SiGe for spintronics application , Thin Solid Films , Vol.517, No.1, pp181-183 , 2008.11.
253. K. Ueda, M. Kumano, T. Sadoh, M. Miyao , Low temperature epitaxial growth of Fe3Si on Si(111) substrate through ultra-thin SiO2 films , Thin Solid Films , Vol.517, No.1, pp425-427 , 2008.11.
254. Dong Wang, H. Nakashima, M. Tanaka, T. Sadoh, M. Miyao, J. Morioka, T. Kitamura , Local strain evaluation of single crystal Si pillar by micro Raman spectroscopy and photoluminescence , Thin Solid Films , Vol.517, No.1, pp31-33 , 2008.11.
255. M. Tanaka, A. Kenjo, T. Sadoh, M. Miyao , Stress-relaxation mechanism in ultra-thin SiGe on insulator formed by H+ irradiation-assisted Ge condensation method, Thin Solid Films , Vol.517, No.1, pp248–250 , 2008.11.
256. K. Ueda, T. Sadoh, Y. Ando, T. Jonishi, K. Narumi, Y. Maeda, M. Miyao , Temperature dependent epitaxial growth of ferromagnetic silicide Fe3Si on Ge substrate , Thin Solid Films , Vol.517, No.1, pp422–424, 2008.11.
257. Masanobu Miyao, Koji Ueda, Yu ichiro Ando, Mamoru Kumano, Taizoh Sadoh, Kazumasa Narumi, Yoshihito Maeda, Atomically controlled hetero-epitaxy of Fe3Si/SiGe for spintronics application, Thin Solid Films, 10.1016/j.tsf.2008.08.055, 517, 1, 181-183, 2008.11, Molecular beam epitaxy of the ferromagnetic silicide Fe3Si on Ge and Si substrates was investigated in a wide temperature range (60-400 °C). Epitaxial growth of Fe3Si layers was achieved on Ge (110), Ge (111), and Si (111) substrates. Especially, very low value (2.2%) of the minimum scattering yield in RBS measurements was obtained from Fe3Si layers, which were grown on Ge (111) at low temperature (60-130 °C) under the stoichiometric condition (Fe:Si = 3:1). Transmission electron microscopy measurements confirmed the formation of DO3-type Fe3Si and atomically flat interface between Fe3Si and Ge (111). In addition, thermal stability of Fe3Si was guaranteed up to 300 °C. Such high quality Fe3Si on Ge (111) substrates can be employed to realize Ge channel spin transistors, which can be integrated with Si large-scale integrated circuits..
258. Masanori Tanaka, Tatsuo Ohka, Taizoh Sadoh, Masanobu Miyao, Comprehensive study of low temperature (Thin Solid Films, 10.1016/j.tsf.2008.08.025, 517, 1, 251-253, 2008.11, Oxidation of SiGe/SOI (Ge fraction: 0-50%) structures was investigated in a wide temperature range. Different oxidation features were observed for samples oxidized in low ( 800 °C) temperature regions. Very thin SiO2 layers (2/SiGe interfaces were almost the same as the initial Ge fractions (2 (> 200 nm) was formed during high (> 800 °C) temperature oxidation. Thus, the oxidation rate was limited by the diffusion process of O in SiO2. Therefore, the oxidation rate did not depend on the Ge fraction. At middle temperatures, the oxidation rate does not depend on the temperature for samples with high initial Ge fractions (> 20%). This is due to that increase in oxidation rate by increasing temperature was cancelled by the retardation of oxidation due to high Ge fractions (> 50%) piled-up at the SiO2/SiGe interfaces..
259. Dong Wang, Hiroshi Nakashima, Masanori Tanaka, Taizoh Sadoh, Masanobu Miyao, Jun Morioka, Tokuhide Kitamura, Local strain evaluation of single crystal Si pillar by micro Raman spectroscopy and photoluminescence, Thin Solid Films, 10.1016/j.tsf.2008.08.021, 517, 1, 31-33, 2008.11, Local strain evaluation was performed for single crystal Si pillar (SCSP) by micro Raman spectroscopy and photoluminescence (PL). SCSPs were fabricated by the mesa etching of Si-on-insulator followed by the etching of the buried oxide. The compressive strain was induced to SCSPs by SiN deposition using low-pressure chemical vapor deposition. The strain distribution was clearly observed in the plane of a certain pattern of SCSP. Strain ratio comparison was also performed for SCSPs with different shapes and sizes. Defect-related PL signals were also observed..
260. Koji Ueda, Mamoru Kumano, Taizoh Sadoh, Masanobu Miyao, Low temperature epitaxial growth of Fe3Si on Si(111) substrate through ultra-thin SiO2 films, Thin Solid Films, 10.1016/j.tsf.2008.08.121, 517, 1, 425-427, 2008.11, Growth of ferromagnetic silicide Fe3Si on SiO2 was investigated by using the molecular beam deposition technique. Measurements combined with X-ray diffraction and reflective high-energy electron diffraction clearly indicated that poly-crystal Fe3Si layers were formed on Si(111) substrates covered with thick (> 2.7 nm) SiO2 films. On the other hand, it is suggested that Fe3Si layers were epitaxially grown on Si(111) substrates covered with ultra-thin (1.3 nm) SiO2 films. Transmission electron microscopy and electron diffraction measurements confirmed that single crystalline Fe3Si layers with (111) orientation were formed on Si(111) substrates with ultra-thin (1.3 nm) SiO2 films. These results were considered to originate from heteroepitaxy on crystalline ultra-thin SiO2 layer or lateral epitaxial growth over SiO2 through pinholes..
261. Taizoh Sadoh, M. Kurosawa, M. Kimura, K. Ueda, M. Koyanagi, M. Miyao, Low-temperature oriented growth in [CoPt/MgO]
n
multi-layer, Thin Solid Films, 10.1016/j.tsf.2008.08.057, 517, 1, 430-433, 2008.11, Oriented-crystal growth of CoPt and MgO layers in the [CoPt/MgO]
n
stacked structures at low-temperatures ( 10
/Si(100) and [CoPt (2 nm)/MgO (2.5 nm)]
20
/Si(100) structures. The (111) oriented growth of CoPt layers was found to be due to the template effect of MgO layers, which were crystallized to the (111) orientation if the layers were thin (
262. Masanori Tanaka, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Stress-relaxation mechanism in ultra-thin SiGe on insulator formed by H+ irradiation-assisted Ge condensation method, Thin Solid Films, 10.1016/j.tsf.2008.08.030, 517, 1, 248-250, 2008.11, The mechanism for the stress-relaxation in the Ge condensation induced by H+ irradiation-assisted oxidation method was investigated. Relaxation ratio of Si0.7Ge0.3 (thickness: 28 nm) formed by medium dose H+ irradiation (5 × 1015 cm- 2) and oxidation at 1100 °C was limited to 35%. Post-annealing (1200 °C) improved this value significantly (70%), though defect density was found to be kept at low density (6 cm- 2). However, the height of cross hatches was increased (from 0.6 nm to 4.1 nm) after post-annealing. Based on these results, local-area slipping model was proposed, where slipping at SiGe/SiO2 interface of local areas surrounded by cross hatches enhanced stress-relaxation. This model could quantitatively explain the stress-relaxation enhanced by combination of H+ irradiation, oxidation-induced Ge condensation, and post-annealing..
263. Koji Ueda, Taizoh Sadoh, Yuichiro Ando, Takahumi Jonishi, Kazumasa Narumi, Yoshihito Maeda, Masanobu Miyao, Temperature dependent epitaxial growth of ferromagnetic silicide Fe3Si on Ge substrate, Thin Solid Films, 10.1016/j.tsf.2008.08.110, 517, 1, 422-424, 2008.11, Influences of growth temperature on low-temperature (60-400 °C) molecular beam epitaxy of the ferromagnetic silicide Fe3Si layer on Ge substrates were investigated. X-ray diffraction and reflective high-energy electron diffraction measurements suggested that Fe3Si layers were epitaxially grown on Ge at a temperature between 60 and 300 °C, while another phase layer was epitaxially grown at 400 °C. Rutherford backscattering spectroscopy measurements revealed that Ge atoms began to diffuse into the Fe3Si layers above 300 °C, and the FeSiGe layer was formed at 400 °C. As a result, very low value (4.0%) of the minimum scattering yield (χmin) of the Fe3Si layers was obtained at 130 °C. Transmission electron microscopy measurements indicated that the interface of Fe3Si and Ge was atomically flat. In addition, analysis of the electron diffraction patterns of epitaxial Fe3Si layers confirmed the formation of DO3-type Fe3Si..
264. T. Sadoh, K. Toko, K. Ikeda, S. Hata, M. Itakura, H. Nakashima, M. Nishida, and M.Miyao , Formation of SiGe Quasi-Single Crystal Grain on Insulator by Indentation-Induced Solid-Phase Crystallization, ECS Transactions, Vol.16 No.10, pp219-222 , 2008.10.
265. K. Ueda, Y. Ando, K. Yamamoto, M. Kumano, K. Hamaya, T. Sadoh, K. Narumi,Y. Maeda, and M. Miyao, Low Temperature Epitaxial Growth of Full Heusler Alloy Fe2MnSi on Ge(111) Substrates for Spintronics Application , ECS Transactions, Vol.16 No.10, pp273-276 , 2008.10.
266. Y. Kishi, M. Kumano, K. Ueda, T. Sadoh, and M. Miyao, Characterization of Fe3Si/Si Schottky Contact for Future Spin-Transistor , ECS Transactions, Vol.16 No.10, pp277-280 , 2008.10.
267. K. Hamaya, K. Ueda, Y. Kishi, Y. Ando, T. Sadoh, M. Miyao, Epitaxial ferromagnetic Fe3Si/Si(111) structures with high-quality heterointerfaces, Applied Physics Letters, 10.1063/1.2996581, 93, 13, 2008.10, To develop silicon-based spintronic devices, we have explored high-quality ferromagnetic Fe3 Si /silicon (Si) structures. Using low-temperature molecular beam epitaxy at 130 °C, we realize the epitaxial growth of ferromagnetic Fe3 Si layers on Si(111) with an abrupt interface, and the grown Fe3 Si layer has the ordered D O3 phase. Measurements of magnetic and electrical properties for the Fe3 SiSi (111) yield a magnetic moment of ∼3.16 μB f.u. at room temperature and a rectifying Schottky-diode behavior with the ideality factor of ∼1.08, respectively..
268. K.Ueda, K. Hamaya, K.Yamamoto, Y. Ando, T.Sadoh, Y.Maeda, and M.Miyao , Low-Temperature Molecular Beam Epitaxy of a Ferromagnetic Full-Heusler Alloy Fe2MnSi on Ge(111) , Appl. Phys. Lett., Vol.93, No.11, 112108, pp1-3, 2008.09.
269. K.Hamaya, K.Ueda, Y. Kishi, Y.Ando, T.Sadoh, and M.Miyao , Epitaxial Ferromagnetic Fe3Si/Si(111) Structures with High-Quality Heterointerfaces, Appl. Phys. Lett., Vol.93, No.13, 132117, pp1-3, 2008.09.
270. K. Ueda, K. Hamaya, K. Yamamoto, Y. Ando, T. Sadoh, Y. Maeda, M. Miyao, Low-temperature molecular beam epitaxy of a ferromagnetic full-Heusler alloy Fe2MnSi on Ge(111), Applied Physics Letters, 10.1063/1.2977866, 93, 11, 2008.09, We demonstrate the epitaxial growth of ferromagnetic full-Heusler alloy Fe2MnSi layers on group-IV semiconductor Ge(111) using molecular beam epitaxy at the growth temperatures of 130 and 200 °C. The Fe 2MnSi/Ge (111) layers have an atomic-scale abrupt interface and include the ordered L21 phase. We also show ferromagnetic features with a saturation magnetization of ∼2.2 μB /f.u. and a Curie temperature of ∼210 K, which are nearly comparable to those of bulk Fe2MnSi..
271. Indentation-Induced Solid-Phase Crystallization of SiGe on Insulator.
272. Kaoru Toko, Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Tanemasa Asano, Masanobu Miyao, Low-temperature solid-phase crystallization of amorphous SiGe films on glass by imprint technique, Solid-State Electronics, 10.1016/j.sse.2008.05.009, 52, 8, 1221-1224, 2008.08, Low-temperature (1-xGex (x: 0-0.7) films was examined on insulating substrates by using Ni-imprint technique. Incubation time for SPC was remarkably reduced by catalytic effects without changing growth velocity. As a result, Ni-free large SiGe grains (∼4 μm) were obtained at controlled positions. The crystallinity of the grown regions was almost the same as that of poly-SiGe formed by the conventional high temperature SPC at 600 °C..
273. K. Ueda, R. Kizuka, H. Takeuchi, A. Kenjo, T. Sadoh,.and M. Miyao, Influence of Substrate Orientation on Low-Temperature Epitaxial Growth of Ferromagnetic Silicide Fe3Si on Si, Thin Solid Films, Vol.515, No.22, pp.8250-8253, 2008.07.
274. K. Ueda, Y. Ando, M. Kumano, T. Sadoh,Y. Maeda and M. Miyao, Low Temperature Formation of Multi-Layered Structures of Ferromagnetic Silicide Fe3Si and Ge, Applied Surface Science , Vol.254, No.19, pp6215-6217, 2008.07.
275. M. Tanaka, T. Sadoh, J. Morioka, T. Kitamura and M. Miyao, Influences of Si Pillar Geometry on SiN-Stressor Induced Local Strain, Applied Surface Science, Vol.254, No.19, pp6226-6228, 2008.07.
276. K. Ueda, Y. Ando, M. Kumano, T. Sadoh,Y. Maeda and M. Miyao , Low Temperature Formation of Multi-Layered Structures of Ferromagnetic Silicide Fe3Si and Ge , Applied Surface Science , Vol.254, No.19, pp6215-6217, 2008.07.
277. Masanori Tanaka, Taizoh Sadoh, Jun Morioka, Tokuhide Kitamura, Masanobu Miyao, Influences of Si pillar geometry on SiN-stressor induced local strain, Applied Surface Science, 10.1016/j.apsusc.2008.02.146, 254, 19, 6226-6228, 2008.07, The local strains in Si pillars induced by SiN stressors were quantitatively investigated as a function of geometry by micro-Raman scattering spectroscopy. Raman shifts of a cantilever microstructure were twice as large as those of a bridge microstructure. This difference was due to the different dimensions of the strains, i.e., biaxial strains in the cantilever type and uniaxial strains in bridge type. The thermal stability of the SiN stressor was also investigated. The results showed induced strains were stable after post-annealing at high temperature (∼1000 °C)..
278. Koji Ueda, Yuichiro Ando, Mamoru Kumano, Taizoh Sadoh, Yoshihito Maeda, Masanobu Miyao, Low temperature formation of multi-layered structures of ferromagnetic silicide Fe 3 Si and Ge, Applied Surface Science, 10.1016/j.apsusc.2008.02.139, 254, 19, 6215-6217, 2008.07, Low-temperature (3 Si/Ge was investigated. By optimizing growth conditions, Fe 3 Si layers with a flat interface and good crystallinity were epitaxially grown on Ge(1 1 1) substrates. In addition, double heteroepitaxial growth of Fe 3 Si/Ge on high quality Fe 3 Si/Ge substrates was investigated. Reflective high-energy electron diffraction measurements suggested Fe 3 Si and Ge layers were epitaxially grown on Fe 3 Si/Ge substrates. However, transmission electron microscopy measurements indicated stacking faults formed in the intermediate Ge and top Fe 3 Si layers. Improved crystallinity of the intermediate Ge layer is essential to realize high quality [Fe 3 Si/Ge] 2 multi-layered structures..
279. Y. Ando, K. Ueda, M. Kumano, T. Sadoh, K. Narumi, Y. Maeda, and M. Miyao, Low Temperature Hetero-Epitaxy of Ferromagnetic Silicide on Ge Substrates for Spin-Transistor Application, IEICE Transaction on Electronics, Vol.E91-C, No.5, pp708-711, 2008.05.
280. Yu-ichiro Ando, Koji Ueda, Mamoru Kumano, Taizoh Sadoh, Kazumasa Narumi, Yoshihito Maeda, Masanobu Miyao, Low Temperature Hetero-Epitaxy of Ferromagnetic Silicide on Ge Substrates for Spin-Transistor Application, IEICE Transactions on Electronics, 10.1093/ietele/e91-c.5.708, 91, 5, 708-711, 2008.05, Effects of Fe/Si ratio and growth temperature were investigated in order to realize high quality Fe3Si/Ge structures. It was found that very small Xmin values (2-3%) were achieved in a wide temperature range of 60-200°C under the stoichiometric condition. From TEM observation, it was rvealed that the Fe3Si/Ge structures with atomically flat interfaces were realized. In addition, thermal stability of the Fe3Si/Ge structures was guaranteed up to 400°C. These results suggested that growth at a low temperature (3Si/Ge structures with sharp interfaces..
281. Yu Ichiro Ando, Koji Uedat, Mamoru Kumano, Taizoh Sadoh, Kazumasa Narumi, Yoshihito Maeda, Masanobu Miyao, Low temperature hetero-epitaxy of ferromagnetic suicide on ge substrates for spin-transistor application, IEICE Transactions on Electronics, 10.1093/ietele/e91-c.5.708, E91-C, 5, 708-711, 2008.05, Effects of Fe/Si ratio and growth temperatore were investigated in order to realize high quality Fe3Si/Ge structures. It was found that very small Xmin values (2-3%) were achieved in a wide temperature range of 60-200°C under the stoichiometrie condition. From TEM nhservation, it was rvealed that the Fe3Si/Ge structures with atomically flat interfaces were realized. In addition, thermal stability of the Fe3Si/Ge stmctures was guaranteed up to 400° C. These results suggested that growth at a low temperature (3Si/Ge structures with sharp interfaces..
282. Masanobu Miyao, Hiroshi Kanno, Taizoh Sadoh, Electric field assisted low-temperature growth of SiGe on insulating films for future TFT, 6th International Conference on Thin Film Physics and Applications, TFPA 2007 Sixth International Conference on Thin Film Physics and Applications, 10.1117/12.792280, 6984, 2008.04, Development of new semiconductors with high mobility is strongly needed to realize future system-in-displays. To achieve this, we have been investigating electric field assisted metal-induced lateral crystallization (MILC) of a-Si1-XGeX (0
283. T. Sadoh, K. Toko, H. Kanno, S. Masumori, M. Itakura, N. Kuwano, and M. Miyao, Nucleation Controlled Metal-Induced Lateral Crystallization of Amorphous Si1-xGex with Whole Ge Fraction on Insulator, Jap.J.Appl.Phys., Vol.47, No.3, pp1876-1879, 2008.03.
284. M.Tanaka, T. Ohka, T. Sadoh, and M. Miyao, Abnormal oxidation characteristics of SiGe/SOI structures depending on piled-up Ge fraction at SiO2/SiGe interface, J. Appl. Phys., Vol.103, pp.054909-1-5, 2008.03.
285. Masanori Tanaka, Tatsuo Ohka, Taizoh Sadoh, Masanobu Miyao, Abnormal oxidation characteristics of SiGeSi -on-insulator structures depending on piled-up Ge fraction at Si O2 SiGe interface, Journal of Applied Physics, 10.1063/1.2894583, 103, 5, 2008.03, Oxidation characteristics of SiGe (Ge fraction of 0%-50%) layers grown on Si-on-insulator structures were investigated in a wide temperature range, for which different oxidation features were observed in low (800 °C) temperature regions. In the low temperature region, enhanced oxidation dependent on the initial Ge fraction of the SiGe layers was observed. This is because the Ge fractions at the Si O2 SiGe interfaces did not change from the initial Ge fractions in this region. In the high temperature region, the oxidation rates did not depend on the Ge fraction. This is because thick Si O2 (>200 nm) formed in this region and, thus, oxidation rates were limited by the diffusion process of O atoms through the Si O2 layer. In the middle temperature region, an abnormal phenomenon was found; i.e., the oxidation rates did not depend on temperature for samples with high initial Ge fractions (>20%). Quantitative relations between the oxidation rate and fraction of Ge atoms piled up at the Si O2 SiGe interfaces were obtained. Based on these findings, a model for temperature independent oxidation was proposed and it explains the contradicting oxidation rates, i.e. Ge enhanced oxidation and Ge retarded oxidation, in the literature..
286. Taizoh Sadoh, Kaoru Toko, Hiroshi Kanno, Shunji Masumori, Masaru Itakura, Noriyuki Kuwano, Masanobu Miyao, Nucleation-controlled metal-induced lateral crystallization of amorphous Si1-xGex with whole Ge fraction on insulator, Japanese journal of applied physics, 10.1143/JJAP.47.1876, 47, 3 PART 2, 1876-1879, 2008.03, The effects of Ge fraction and annealing temperature on the metal-induced lateral crystallization (MILC) of amorphous SiGe films on an insulator have been investigated. It was shown that the progress of the MILC of amorphous SiGe was suppressed by spontaneous nucleation, which was accelerated with increases in Ge fraction and annealing temperature. Thus, MILC could not proceed for amorphous SiGe with high Ge fractions (>70%) at high temperatures (>500 °C). Spontaneous nucleation was significantly suppressed by lowering the annealing temperature (20μm) could be realized for even high Ge fractions (>70%). Transmission electron microscopy suggested that the mechanism of MILC of amorphous SiGe (a-SiGe) with medium and high Ge fractions (>40%) is different from that of a-Si..
287. Taizoh Sadoh, Kaoru Toko, Hiroshi Kanno, Shunji Masumori, Masaru Itakura, Noriyuki Kuwano, Masanobu Miyao, Nucleation-controlled metal-induced lateral crystallization of amorphous Si[1-x]Ge[x] with whole Ge fraction on insulator (Special issue
Active-matrix flatpanel displays and devices: TFT technologies and related materials), Japanese Journal of Applied Physics, Part 2: Letters, 47, 3, 1876-1879, 2008.03.
288. Yoshihito Maeda, Yusuke Hiraiwa, Kazumasa Narumi, Atsuo Kawasuso, Yosikazu Terai, Yuichiro Ando, Koji Ueda, Taizoh Sadoh, Kohei Hamaya, Masanobu Miyao, Site preference of atoms in heusler alloys Fe3Si and Fe 2MnSi grown on ge(111) toward realization of Ge channel spin transistors, New Materials with High Spin Polarization and Their Applications Materials Research Society Symposium Proceedings - New Materials with High Spin Polarization and Their Applications, 13-18, 2008, Wc have examined quality of axial oricntation along thc Ge direction in order to find dominant factors for epitaxy control of Fe or Fe layers on Ge(111) by 2.0MeV- 4He+ ion channeling measurements. The axial channeling along thc Gc orientation reveled that the axial orientation at thc interface betwccn the Heusler alloy layer and Ge dcgraded as thc Mn content increased. This dcgradation may be causcd by increasing of a lattice misfit bctween Fe3-xMnxSi and Ge. We discusscd on atomic displacements consisting of thermal vibration and static displaccment due to disorders in the lattice using results obtained from low tcmperature channeling measurements..
289. Y. Tsumura, I. Nakao, H. Kanno, A. Kenjo, T. Sadoh, M. Miyao, Comparative study of Al-induced crystallization for poly-Si and Ge on insulating film, 5th International Symposium on ULSI Process Integration - 212th ECS Meeting ECS Transactions - 5th International Symposium on ULSI Process Integration, 10.1149/1.2778396, 395-400, 2007.12, Al-induced crystallization (AIC) of Si and Ge has been comparatively investigated to realize poly-Si and poly-Ge layers on insulating films. For amorphous-Si (a-Si)/Al stacked structures, poly-Si oriented to the (111) direction was formed after annealing (450°C, 40h), and inversion of Si/Al layers occurred completely. On the other hand, poly-Ge with random orientations was formed in the local areas (diameter: 20 μm) of the Al layers after annealing (350°C, 150h) for a-Ge/Al stacked structures. In addition, inversion of Ge/Al layers did not occur. Understanding of AIC of Ge is necessary to establish a new technique for formation of high-quality poly-SiGe at low temperatures..
290. M. Kumano, Y. Ando, K. Ueda, T. Sadoh, K. Narumi, Y. Maeda, M. Miyao, Effect of Fe/Si ratio on epitaxial growth of Fe3Si on Ge substrate, 5th International Symposium on ULSI Process Integration - 212th ECS Meeting ECS Transactions - 5th International Symposium on ULSI Process Integration, 10.1149/1.2778405, 481-485, 2007.12, The effects of the Fe/Si ratios on molecular beam epitaxy (MBE) of Fe 3Si on Ge substrate have been investigated in a wide range of growth temperatures (60-300°C), From XRD measurements, it was found that Fe 3Si layers were epitaxially grown on Ge(111) substrates at 60-200°C under the stoichiometric (Fe/Si ratio of Fe:Si=3:1) and non-stoichiometric (Fe/Si ratio of Fe:Si=4:1) conditions. From RBS measurement, it was found that atomic mixing of Fe and Ge at Fe3Si/Ge interfaces began at a growth temperature of 300°C. In the case of MBE under the stoichiometric condition (Fe:Si=3:1), the crystallinity of Fe3Si is significantly improved compared to the non-stoichiometric condition (Fe:Si=4:1). As a result, very low χmin was obtained in a wide temperature (60-200°C) under the stoichiometric condition. From the transmission electron microscopy measurements, it was shown that high-quality DO3-type Fe3Si/Ge structures with atomically flat interfaces were realized at a low temperature (∼200°C) under the stoichiometric condition..
291. Koji Ueda, Yuichiro Ando, Mamoru Kumano, Taizoh Sadoh, Yoshihito Maeda, Masanobu Miyao, Formation of Fe3Si/Ge/Fe3Si multi-layer by double heteroepitaxy on high quality Fe3Si/Ge substrate for spintronics application, 5th International Symposium on ULSI Process Integration - 212th ECS Meeting ECS Transactions - 5th International Symposium on ULSI Process Integration, 10.1149/1.2778406, 487-491, 2007.12, Double heteroepitaxial growth of Fe3Si/Ge on high quality Fe3Si/Ge substrates has been investigated by low-temperature (3Si and Ge layers were epitaxially grown on Fe3Si/Ge substrates, though the surface morphology became rough. X-ray diffraction measurements revealed that a small amount of Fe3Si(110) was included in the top Fe3Si layers. Transmission electron microscopy measurements indicated that stacking faults were formed in the intermediate Ge and the top Fe3Si layers. Improvement of crystal quality of the intermediate Ge layer is essential to realize high quality Fe3Si/Ge/Fe3Si multi-layers..
292. Taizoh Sadoh, H. Kamizuru, A. Kenjo, M. Miyao, High-performance poly-Ge thin-film transistor with NiGe Schottky source/drain, 6th Pacific Rim International Conference on Advanced Materials and Processing, PRICM 6 Selected, peer reviewed papers from The Sixth Pacific Rim International Conference on Advanced Materials and Processing, PRICM 6, 1181-1184, 2007.12, High-performance poly-Ge thin-film transistors (TFTs) were fabricated using NiGe Schottky contacts as source/drain (S/D). First, formation of NiGe layers by annealing of Ni/n-Ge structures was investigated as a function of annealing temperature, and NiGe/n-Ge Schottky contacts (φBn=0.51 eV) with a low reverse leakage current (∼10-2A/cm2) were realized at 200-300°C On the basis of the results, NiGe Schottky S/D contacts were fabricated using poly-Ge/quartz s.ubstrates. The TFTs showed good operation characteristics with a hole mobility of ∼140 cm2V 1s1. This is a great advantage for the realization of high-performance TFTs for future system-in-displays..
293. T. Sadoh, K. Ueda, Y. Ando, M. Kumano, K. Narumi, Y. Maeda, M. Miyao, Low-temperature epitaxial growth of [Fe3Si/SiGe]n (n=1-2) multi-layered structures for spintronics application, 5th International Symposium on ULSI Process Integration - 212th ECS Meeting ECS Transactions - 5th International Symposium on ULSI Process Integration, 10.1149/1.2778404, 473-479, 2007.12, Our recent progresses in epitaxial growth of Fe3Si on Ge substrates are reviewed. Single crystalline Fe3Si layers with atomically flat interfaces were achieved on Ge(111) substrates by optimizing growth conditions at low temperatires (60-200°C), Thermal stability of it was guaranteed up to 400°C In addition, epitaxial growth of mixed layers composed of Fs3Si, FeGe, and FeSi on Ge substrates at 400°C is reported. Finally, epitaxial growth of Fe3Si/Ge/Fe3Si/Ge structures is discussed. These results will be a powerful tool to open up SiGe related spintronics..
294. T. Sadoh, H. Kamizuru, A. Kenjo and M. Miyao, High-Performance Poly-Ge Thin-Film Transistor with NiGe Schottky Source/Drain, Materials Science Forum, Vol.561-565, pp.1181-1184, 2007.11.
295. Yoshihito Maeda, Takafumi Jonishi, Kazumasa Narumi, Yu Ichiro Ando, Koji Ueda, Mamoru Kumano, Taizoh Sadoh, Masanobu Miyao, Axial orientation of molecular-beam-epitaxy-grown Fe3 SiGe hybrid structures and its degradation, Applied Physics Letters, 10.1063/1.2801705, 91, 17, 2007.11, The axial orientation of molecular-beam-epitaxy (MBE)-grown Fe3 Si (111) Ge (111) hybrid structures was investigated by Rutherford backscattering spectroscopy. We confirmed that during MBE above 300 °C, the interdiffusion of Fe and Ge atoms results in a composition change and the epitaxial growth of FeGe in Fe3 Si. Low-temperature (
296. T. Sadoh, K. Ueda, Y. Ando, M. Kumano, K. Narumi, Y. Maeda, and M. Miyao, Low-Temperature Epitaxial Growth of [Fe3Si/SiGe]n (n=1-2) Multi-Layered Structures for Spintronics Application, ECS Transactions, Vol.11, No.6, pp473-480, 2007.10.
297. Y. Tsumura, I. Nakao, H. Kanno, A. Kenjo, T. Sadoh, and M. Miyao, Comparative Study of Al-Induced Crystallization for Poly-Si and Ge on Insulating Film, ECS Transactions, Vol.11, No.6, pp395-402, 2007.10.
298. M. Kumano, Y. Ando, K. Ueda, T. Sadoh, K. Narumi, Y. Maeda, M. Miyao, Effect of Fe/Si Ratio on Epitaxial Growth of Fe3Si on Ge Substrate, ECS Transactions, Vol.11, No.6, pp481-486 , 2007.10.
299. K. Ueda, Y. Ando, M. Kumano, T. Sadoh, Y. Maeda and M.Miyao, Formation of Fe3Si/Ge/Fe3Si Multi-Layer by Double Heteroepitaxy on High Quality Fe3Si/Ge Substrate for Spintronics Application, ECS Transactions, Vol.11, No.6, pp487-492, 2007.10.
300. Yoshihito Maeda, Takafumi Jonishi, Kazumasa Narumi, Yu-ichiro Ando, Koji Ueda, Mamoru Kumano, Taizoh Sadoh, and Masanobu Miyao, Axial orientation of molecular-beam-epitaxy-grown Fe3Si/Ge hybrid structures and its degradation, Appl. Phys. Lett. , Vol.91, No.17, pp.171910 -1-2, 2007.10.
301. Yoshihito Maeda, Kevin P. Homewood, Taizoh Sadoh, Yoshikazu Terai, Kenji Yamaguchi, Kensuke Akiyama, Editorial, Thin Solid Films, 10.1016/j.tsf.2007.02.019, 515, 22, 8101, 2007.08.
302. Koji Ueda, Ryo Kizuka, Hisashi Takeuchi, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Influence of substrate orientation on low-temperature epitaxial growth of ferromagnetic silicide Fe3Si on Si, Thin Solid Films, 10.1016/j.tsf.2007.02.052, 515, 22, 8250-8253, 2007.08, Influence of substrate orientation on epitaxial growth of the ferromagnetic silicide Fe3Si on Si was investigated using low temperature (60-300 °C) molecular beam epitaxy. Transmission electron microscopy (TEM) measurements revealed that Fe3Si layers were epitaxially grown on Si(110) and Si(111), while random poly-crystal Fe3Si layers were formed on Si(100). From the Rutherford backscattering spectroscopy measurements, the values of the χmin of the Fe3Si layers grown at 60 °C on Si(100), Si(110), and Si(111) were evaluated to be 100%, 97%, and 41%, respectively. This dependence on the substrate orientation was explained on the basis of the atomic alignments at the Fe3Si/Si interfaces..
303. Kaoru Toko, Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Tanemasa Asano, Masanobu Miyao, Ni-imprint induced solid-phase crystallization in Si1-xGe x (x
0-1) on insulator, Applied Physics Letters, 10.1063/1.2764447, 91, 4, 2007.08, Position control of solid-phase crystallization in the amorphous Si1-x Gex (x: 0-1) films on insulating substrates was investigated by using Ni-imprint technique. Crystal nucleation at the imprinted positions proceeded approximately 2-20 times, depending on Ge fraction, faster than the conventional solid-phase crystallization, which was due to the catalytic effect of Ni. As a result, large SiGe crystal regions (∼2 μm) were obtained at controlled positions. On the other hand, the growth velocity did not changed, which suggested that grown regions contained few residual Ni atoms..
304. K. Toko, H. Kanno, A. Kenjo, T. Sadoh, T. Asano, and M. Miyao, Ni-Imprint Induced Solid-Phase Crystallization in Si1-xGex(x:0-1) on Insulator, Appliedd Physics Letters, Vol.91, No.4, pp2111-1-2, 2007.07.
305. Y. Ando, K. Ueda, M. Kumano, T. Sadoh, K. Narumi, Y. Maeda, and M. Miyao, Low Temperature Hetero-Epitaxy of Ferromagnetic Silicide on Ge Substrates for Spin-Transistor Application, 電子情報通信学会 信学技報, ED2007-101, 6月号 pp.221-224, 2007.06.
306. T. Sadoh, H. Kamizuru, A. Kenjo, and M. Miyao, Ge-Channel Thin-Film Transistor with Schottky Source / Drain Fabricated by Low-Temperature Processing , Jpn. J. Appl. Phys., Vol.46, No.3B, pp.1250-1253 , 2007.03.
307. Taizoh Sadoh, Hayato Kamizuru, Atsushi Kenjo, Masanobu Miyao, Ge-channel thin-film transistor with schottky Source/drain fabricated by low-temperature processing, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.46.1250, 46, 3 B, 1250-1253, 2007.03, The fabrication of Ge-channel thin-film transistors (TFTs) with Schottky source/drain (S/D) contacts was investigated. First, the annealing characteristics of Ni/c-Ge stacked structures were examined. NiGe/n-Ge Schottky contacts (ΦBn = 0.51 eV, n = 1) with a low reverse leakage current [(2-5) × 10-2 A/cm2] were obtained at 200-300 °C. Second, the electrical characteristics of Al/SiO 2/c-Ge metal-oxide-semiconductor (MOS) structures were investigated, in which SiO2 films were formed by plasma-enhanced chemical vapor deposition at 250 °C. The MOS structures were proven acceptable for device operation. On the basis of the obtained results, TFTs with NiGe Schottky S/D contacts were fabricated using poly-Ge/quartz substrates. The maximum processing temperature was 500 °C in the solid-phase crystallization of a-Ge films. The TFTs showed good p-channel operation characteristics with a mobility of ∼ 100cm2 V-1 s-1 without showing kink effects. This is a great advantage for the realization of high-performance TFTs for system-in-displays..
308. M. Miyao, H. Kanno, and T. Sadoh, Recent Progress of SiGe Heterostructure Technologies for Novel Devices : , ECS Transactions , Vol.2, No.1, pp.165-179 , 2006.12.
309. Masanobu Miyao, Hiroshi Kanno, Isao Tsunoda, Taizoh Sadoh, Low temperature crystallization of a-SiGe on insulating films for thin film transistor application, SiGe and Ge: Materials, Processing, and Devices - 210th Electrochemical Society Meeting ECS Transactions, 10.1149/1.2355858, 3, 7, 613-626, 2006.12, Development of high-mobility semiconductors is strongly needed to realize high-performance thin-film transistors. To achieve this, we have been investigating low temperature solid-phase crystallization and metal-induced lateral crystallization of a-SiGe on insulating substrates. These realize uniform crystal growth of SiGe with all Ge fractions. In addition, thin-film transistors with Schottky source and drain structures were fabricated, which showed good ambipolar operation characteristics. Present paper reviews such our recent progress of low temperature SiGe growth and discusses the possible application to thin-film transistors with high speed operation. copyright The Electrochemical Society..
310. T. Sadoh, H. Kamizuru, A. Kenjo, and M. Miyao, Low-temperature formation (Appl. Phys. Lett., Vol.89, No.19, 192114, pp1-3, 2006.11.
311. T. Sadoh, M. Kumano, R. Kizuka, K. Ueda, A. Kenjo, M. Miyao, Atomically controlled molecular beam epitaxy of ferromagnetic silicide Fe3Si on Ge, Applied Physics Letters, 10.1063/1.2378399, 89, 18, 2006.11, Low-temperature (60 °C) molecular beam epitaxy (MBE) of Fe3 Si layers on Ge substrates was investigated. From x-ray diffraction and transmission electron microscopy measurements, it was shown that Fe3 Si layers including the DO3 type were epitaxially grown on Ge(110) and Ge(111), while polycrystal Fe3 Si was formed on Ge(100). Although the Fe3 SiGe (110) interface was slightly rough (∼1 nm), the Fe3 SiGe (111) interface was atomically flat. Such atomically controlled MBE of Fe3 Si on the Ge(111) substrate can be employed to realize Ge channel spin transistors, which can be integrated with Si large-scale integrated circuits..
312. Taizoh Sadoh, H. Kamizuru, A. Kenjo, M. Miyao, Low-temperature formation (Applied Physics Letters, 10.1063/1.2387136, 89, 19, 2006.11, Poly-Ge thin-film transistors (TFTs) with Schottky source/drain (S/D) contacts were fabricated on glass by low-temperature (
313. Hiroshi Kanno, Kaoru Toko, Taizoh Sadoh, Masanobu Miyao, Temperature dependent metal-induced lateral crystallization of amorphous SiGe on insulating substrate, Applied Physics Letters, 10.1063/1.2374849, 89, 18, 2006.11, Metal-induced lateral crystallization (MILC) of amorphous SiGe films on Si O2 has been investigated as a function of Ge fraction (0%-100%) and annealing temperature (320-550 °C). High temperature annealing (>500 °C) caused spontaneous nucleation in amorphous SiGe with a high Ge fraction (>70%). This suppressed the progress of MILC. Spontaneous nucleation was significantly suppressed by lowering the annealing temperature (20 μm) were observed around Ni patterns even for high Ge fractions (>70%). In this way, MILC of amorphous SiGe was achieved for samples with whole Ge fractions (0%-100%)..
314. T. Sadoh, M. Kumano, R. Kizuka, K. Ueda, A. Kenjo, and M. Miyao, Atomically controlled molecular beam epitaxy of ferromagnetic silicide Fe3Si on Ge
, Appl. Phys. Lett. , Vol.89, No.18, 182511, pp1-3 , 2006.10.
315. H. Kanno, K. Toko, T. Sadoh, and M. Miyao , Temperature dependent metal-induced lateral crystallization of amorphous SiGe on insulating substrate, Appl. Phys. Lett., Vol.89, No.18, 182120, pp1-3, 2006.10.
316. Masanori Tanaka, Taizoh Sadoh, Koji Matsumoto, Toyotsugu Enokida, Masanobu Miyao, Stress-Relaxation Process during Post-Annealing in SGOI Formed by H^+ Irradiation and Oxidation-Induced Ge Condensation, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2006, 444-445, 2006.09.
317. H. Kanno, A. Kenjo, T. Sadoh, and M. Miyao , Directional Growth of Si Nanowires on Insulating Films by Electric-Field-Assisted Metal-Induced Lateral Crystallization :, Mat. Res. Soc. Symp. Proc , Vol.891, EE06-08 pp.1-6, 2006.08.
318. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Directional growth of Si nanowires on insulating films by electric-field-assisted metal-induced lateral crystallization, 2005 MRS Fall Meeting Progress in Semiconductor Materials V - Novel Materials and Electronic and Optoelectronic Applications, 257-262, 2006.08, Metal-induced lateral crystallization of amorphous Si has been investigated under a wide range of electric fields (0-4000 V/cm). In the low field region (2000 V/cm), directional growth aligned to the electric field was observed. This new findings will be a powerful tool to achieve new poly-Si with highly controlled structures..
319. Masanobu Miyao, Hiroshi Kanno, Taizoh Sadoh, Recent progress of SiGe heterostructure technologies for novel devices, 2nd International Symposium on Dielectrics for Nanosystems: Materials Science, Processing, Reliability, and Manufacturing - 209th Meeting of the Electrochemical Society Dielectrics for Nanosystems II Materials Science, Processing, Reliability, and Manufacturing, 2, 165-179, 2006.07, The recent progress of SiGe hetrostructure technologies is reviewed by using the authors' results. Low temperature (
320. H. Kanno, A. Kenjo, T. Sadoh and M. Miyao , Electric field-dependent Ni-mediated lateral crystallization of a-Si on SiO2 : ( (Jun.,.2006)) , Thin Solid Films, Vol.508, No.1-2, pp.40-43 , 2006.06.
321. T. Aoki, H. Kanno, A. Kenjo, T. Sadoh, and M. Miyao, Au-induced Lateral Crystallization of a-Si1-xGex(x: 0-1) at low-temperature , Thin Solid Films, Vol.508, No.1-2, pp.44-47, 2006.06.
322. : M. Itakura, S. Masumori, T. Ohta, Y. Tomokiyo, N. Kuwano, H. Kanno, T. Sadoh and M. Miyao , Characterization of metal-induced lateral crystallization of amorphous SiGe on insulating film , Thin Solid Films, Vol.508, No.1-2, pp.57-60 , 2006.06.
323. M.Tanaka, I. Tsunoda, T. Sadoh, T. Enokida, M. Ninomiya, M. Nakamae and M. Miyao , Thickness Dependent Stress-Relaxation in Thin SGOI Structures and Its Improvement , Thin Solid Films, Vol.508, No.1-2, pp.247-250 , 2006.06.
324. K. Ueda, T. Sadoh, A. Kenjo, F. Shoji, H. Kurino, M. Koyanagi, and M. Miyao , Morphological change of Co-nanodot on SiO2 by thermal treatment , Thin Solid Films, Vol.508, No.1-2, pp.178-181, 2006.06.
325. Tomohisa Aoki, Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Au-induced lateral crystallization of a-Si1-xGex (x
0-1) at low temperature, Thin Solid Films, 10.1016/j.tsf.2005.07.317, 508, 1-2, 44-47, 2006.06, Au-induced lateral crystallization of amorphous Si1-xGex (x: 0-1) on SiO2 at a low temperature (400 °C) has been investigated. Although the growth velocity decreased with increasing Ge fraction, growth velocity exceeding 20 μm/h was obtained for all Ge fractions. As a result, poly-Si1-xGex with large areas (> 20 μm) was obtained at a low temperature (400 °C). This is a great advantage of Au-induced lateral crystallization compared with Ni. However, the concentrations in the surface regions (depth: 0-20 nm) of the lateral growth regions were high (10-30%), though those in the deeper regions (depth: 20-50 nm) were as small as 1-2%. Removing of the surface regions with the high Au concentrations and gettering of Au atoms in the deeper regions are necessary to apply the grown layers to the device fabrication..
326. Masaru Itakura, Syunji Masumori, Tomohisa Ohta, Yoshitsugu Tomokiyo, Noriyuki Kuwano, Hiroshi Kanno, Taizoh Sadoh, Masanobu Miyao, Characterization of metal-induced lateral crystallization of amorphous SiGe on insulating film, Thin Solid Films, 10.1016/j.tsf.2005.06.102, 508, 1-2, 57-60, 2006.06, Ni metal-induced lateral crystallization (Ni-MILC) of amorphous Si0.6Ge0.4 thin films has been investigated by means of scanning electron microscopy and transmission electron microscopy. A pattern of Ni about 5 nm thick was fabricated to cover selective areas of the a-Si0.6Ge0.4 film. Subsequently, the thin film was annealed at 550 °C for various lengths of time. In the area covered with Ni, Ni atoms diffuse into the SiGe film and react with Ge to produce precipitates of Ni2Ge, leaving amorphous Si. In the area outside of the Ni-covered one, on the other hand, crystallization occurs to form aggregates of small Si0.6Ge0.4 grains without Ni incorporation. In the MILC process, the kind of Ni compound phase changes with the amount of diffusing Ni and the lateral crystallization should be induced by the formation of NiSi2..
327. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Electric field-dependent Ni-mediated lateral crystallization of a-Si on SiO2, Thin Solid Films, 10.1016/j.tsf.2005.07.315, 508, 1-2, 40-43, 2006.06, Ni-mediated lateral crystallization of amorphous Si has been investigated under a wide range of electric fields (0-4000 V/cm). In the low field region ( 2000 V/cm), directional growth aligned to the electric field was observed. These new findings will be a powerful tool to achieve new poly-Si with highly controlled structures..
328. Koji Ueda, Taizoh Sadoh, Atsushi Kenjo, Fumiya Shoji, Kaoru Sato, Hiroyuki Kurino, Mitsumasa Koyanagi, Masanobu Miyao, Morphological change of Co-nanodot on SiO2 by thermal treatment, Thin Solid Films, 10.1016/j.tsf.2005.10.005, 508, 1-2, 178-181, 2006.06, Morphological changes of Co nanodots on SiO2 layers by thermal treatment have been investigated. Co nanodots were formed by molecular beam deposition of Co on SiO2 layers with substrate temperatures (30-600 °C) and subsequent post-annealing (500-800 °C). For samples deposited at low temperatures (30-280 °C), the diameter and the density of nanodots increased and decreased, respectively, with increasing post-annealing temperature. On the other hand, the diameter and the density of nanodots scarcely changed by post-annealing for samples deposited at high temperatures (430-600 °C). These morphological changes by the post-annealing can be explained on the basis of the stress relaxation in the deposited Co films..
329. Masanori Tanaka, Isao Tsunoda, Taizoh Sadoh, Toyotsugu Enokida, Masaharu Ninomiya, Masahiko Nakamae, Masanobu Miyao, Thickness-dependent stress-relaxation in thin SGOI structures and its improvement, Thin Solid Films, 10.1016/j.tsf.2005.07.316, 508, 1-2, 247-250, 2006.06, The oxidation-induced Ge condensation process of SiGe/Si on insulator structures has been investigated. The relaxation rate obtained in the SiGe layers on insulator (SGOI) abruptly decreased with decreasing SGOI thickness below 50 nm. In order to enhance the relaxation rate in the ultra-thin SGOI, the new technique combined with H+ irradiation with a medium dose (5 × 1015 cm- 2) and post-annealing (1200 °C) has been developed. It was demonstrated that the highly relaxed (70%) ultra-thin SGOI with a low defect density (8 × 106 cm- 2) has been realized by this technique..
330. T. Sadoh, Y. Ohyama, A. Kenjo, K. Ikeda, Y. Yamashita, and M. Miyao , Suppression of Floating-Body Effects in Poly-Si TFT by Schottky S/D Structure , Jpn. J. Appl. Phys. , Vol.45, No.5B, pp.4370-4373 , 2006.05.
331. H. Kanno, A. Kenjo, T. Sadoh and M. Miyao , Electric-Field-Assisted Metal-Induced Lateral Crystallization of Amorphous SiGe on SiO2
, Jpn. J. Appl. Phys. , Vol.45, No.5B, pp.4351-4354 , 2006.05.
332. H. Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Electric-Field-Assisted Metal-Induced Lateral Crystallization of Amorphous SiGe on SiO2 (Special Issue
Active-Matrix Liquid-Crystal Displays--TFT Technologies and Related Materials), Japanese Journal of Applied Physics, Part 2: Letters, 45, 5, 4351-4354, 2006.05.
333. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Electric-field-assisted metal-induced lateral crystallization of amorphous SiGe on SiO2, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.45.4351, 45, 5 B, 4351-4354, 2006.05, The low-temperature (1-xGex. (x: 0-1) on SiO2 has been investigated under a wide range of electric fields (0-4000 V/cm). The increase in the lateral growth velocity with electric field was observed on the cathode side. However, when electric field exceeded a certain value, lateral growth velocity decreased. With increasing Ge fraction, critical field at which lateral growth velocity showed maximum decreased, and the maximum growth velocity increased. In addition, the directional growth of needlelike crystals aligned to the electric field was observed under an extremely high electric field (>2000 V/cm). These new findings will be a powerful tool for achieving new poly-SiGe with highly controlled crystal structures..
334. T. Sadoh, Yasuhiro Ohyama, Atsushi Kenjo, Keiji Ikeda, Yoshimi Yamashita, Masanobu Miyao, Suppression of Floating Body Effects in Polycrystalline Silicon Thin-Film Transistor by Schottky Source/Drain Structure (Special Issue
Active-Matrix Liquid-Crystal Displays--TFT Technologies and Related Materials), Japanese Journal of Applied Physics, Part 2: Letters, 45, 5, 4370-4373, 2006.05.
335. Taizoh Sadoh, Yasuhiro Ohyama, Atsushi Kenjo, Keiji Ikeda, Yoshimi Yamashita, Masanobu Miyao, Suppression of floating body effects in polycrystalline silicon thin-film transistor by schottky source/drain structure, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.45.4370, 45, 5 B, 4370-4373, 2006.05, Thin-film transistors (TFTs) with Schottky source/drain (S/D) structures were fabricated using NiSi and laser-annealed polycrystalline silicon (poly-Si) as Schottky barrier metals and active layers, respectively. The whole fabrication process was performed at low temperatures (on/I off of ∼105 for both the p-channel and n-channel modes. Moreover, the kink effects due to floating body effects, which were observed in conventionally doped S/D TFTs, were successfully suppressed..
336. M. Miyao, M. Tanaka, I. Tsunoda, T. Sadoh, T. Enokida, H. Hagino, M. Ninomiya and M. Nakamae, Highly strain-relaxed ultrathin SiGe-on-insulator structure by Ge-condensation process combined with H+ irradiation and post-annealing, Appl. Phys. Lett. , Vol.88, No.14, 142105 , 2006.04.
337. T. Sadoh, H. Takeuchi, K. Ueda, A. Kenjo, and M. Miyao, Epitaxial Growth of Ferromagnetic Silicide Fe3Si on Si (111) Substrate , Jpn. J. Appl. Phys., Vol.45, No.4B, pp.3598-3600, 2006.04.
338. M. Tanaka, I. Tsunoda, T. Sadoh, T. Enokida, M. Ninomiya, M. Nakamae and M. Miyao, Improved Oxidation-Induced Ge Condensation Technique by Using H+ Irradiation and Post-Annealing for Highly Stress-Relaxed Ultrathin SGOI :, Jpn. J. Appl. Phys., Vol.45, No.4B, pp.3598-3600, 2006.04.
339. Taizoh Sadoh, Hisashi Takeuchi, Koji Ueda, Atsushi Kenjo, Masanobu Miyao, Epitaxial Growth of Ferromagnetic Silicide Fe3Si on Si(111) Substrate (Special Issue
Solid State Devices & Materials), Japanese Journal of Applied Physics, Part 2: Letters, 45, 4, 3598-3600, 2006.04.
340. Taizoh Sadoh, Hisashi Takeuchl, Koji Ueda, Atsushi Kenjo, Masanobu Miyao, Epitaxial growth of ferromagnetic silicide Fe3Si on Si(111) substrate, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.45.3598, 45, 4 B, 3598-3600, 2006.04, Epitaxial growth of the ferromagnetic suicide Fe3Si on Si substrates was investigated using molecular beam epitaxy. X-ray diffraction (XRD) measurements revealed that the Fe3Si phase was formed at 60-300°C, and the FeSi phase was formed at 400°C. From the results of XRD and transmission electron microscopy measurements, it was found that the Fe3Si(111) layers were epitaxially grown on Si(111) substrates, while random poly-crystal Fe3Si layers were formed on Si(100) substrates. Detailed XRD measurements showed that a small amount of DO3-type Fe 3Si was formed together with the B2-type Fe3Si. Vibrating sample magnetometer measurements revealed that Fe3Si(111) layers on Si(111) substrates have in-plane magnetic anisotropy with a period of 180°..
341. Masanobu Miyao, Masanori Tanaka, Isao Tsunoda, Taizoh Sadoh, Toyotsugu Enokida, Hiroyasu Hagino, Masaharu Ninomiya, Masahiko Nakamae, Highly strain-relaxed ultrathin SiGe-on-insulator structure by Ge condensation process combined with H+ irradiation and postannealing, Applied Physics Letters, 10.1063/1.2192644, 88, 14, 2006.04, Strain-relaxation process of SiGe-on-insulator (SGOI) structures in the oxidation induced Ge condensation method has been investigated as a function of the SiGe thickness. Complete relaxation was obtained for thick SGOI layers (>100 nm). However, the relaxation rates abruptly decreased with decreasing SiGe thickness below 50 nm, i.e., the relaxation rate of 30% at 30 nm SiGe thickness. In order to improve this phenomenon, a method combined with H+ irradiation with a medium dose (5× 1015 cm-2) and postannealing (1200 °C) has been developed. This successfully achieved the high relaxation rate (70%) in the ultrathin SGOI (30 nm)..
342. Masanori Tanaka, Isao Tsunoda, Taizoh Sadoh, Toyotsugu Enokida, Masaharu Ninomiya, Masahiko Nakamae, Masanobu Miyao, Improved Oxidation-Induced Ge Condensation Technique Using H〔+〕 Implantation and Post Annealing for Highly Stress-Relaxed Ultrathin SiGe on Insulator (Special Issue
Solid State Devices & Materials), Japanese Journal of Applied Physics, Part 2: Letters, 45, 4, 3147-3149, 2006.04.
343. Masanori Tanaka, Isao Tsunoda, Taizoh Sadoh, Toyotsugu Enokida, Masaharu Ninomiya, Masahiko Nakamae, Masanobu Miyao, Improved oxidation-induced Ge condensation technique using H+ implantation and post annealing for highly stress-relaxed ultrathin SiGe on insulator, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.45.3147, 45, 4 B, 3147-3149, 2006.04, The oxidation-induced Ge condensation of SiGe/Si-on-insulator structures was investigated. The relaxation rate of SiGe on insulator (SGOI) abruptly decreased with SiGe thickness below 50nm. To enhance the relaxation rate in ultra thin SGOI, a new technique combined with intermediate-dose H+ implantation (5 × 1015 cm-2) and post annealing (1200°C) was developed. It was demonstrated that highly relaxed (70%) ultra thin SGOI with a low defect density (6 cm-2) is realized by this technique..
344. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Low-Temperature Formation of Poly-Si1-xGex (0IEEJ Transactions on Electronics, Information and Systems, 10.1541/ieejeiss.126.1073, 126, 9, 1073-1078, 2006.01, Development of new semiconductors with high carrier mobility is strongly needed to realize future system-in-displays. To achieve this, we have been investigating low-temperature crystallization of a-Si1-xGex (0 ≦x≦1) on insulating films. Present paper focuses our recent progress of the Ni-induced lateral crystallization of a-Si1-xGex (0≦x≦1). Effects of the Ge fraction and the electric field on the growth characteristics are discussed..
345. T. Sadoh, H. Takeuchi, K. Ueda, A. Kenjo, M. Miyao, Epitaxial Growth of Ferromagnetic Silicide Fe_3Si on Si (111) Substrate, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2005, 374-375, 2005.09.
346. Masanori Ikishima, Isao Tsunoda, Taizoh Sadoh, Toyotsugu Enokida, Masaharu Ninomiya, Masahiko Nakamae, Masanobu Miyao, Improved oxidation-induced Ge condensation technique by using H^+ irradiation and post-annealing for highly stress-relaxed ultrathin SGOI, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2005, 866-867, 2005.09.
347. J. C. Bea, C.-K. Yin, M. Nishijima, T. Fukushima, T. Sadoh, M. Miyao, M. Koyanagi, Magnetic and Microstructural Properties of FePt L10 Nanoparticle Films Fabricated by Self-Assembled Deposition Method, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2005, 436-437, 2005.09.
348. T. Sameshima, H. Watakabe, H. Kanno, Taizoh Sadoh, M. Miyao, Pulsed laser crystallization of silicon-germanium films, International Conference on Polycrystalline Semiconductors-Materials, Technologies, Device Applications Thin Solid Films, 10.1016/j.tsf.2005.01.037, 487, 1-2, 67-71, 2005.09, Pulsed-XeCl excimer laser crystallization of germanium (Ge) and silicon-germanium (SiGe) alloy films formed on quartz glass substrates was investigated. The transient conductance measurements reveled that germanium films were rapidly melted and solidified because of low latent heat compared to silicon films. Formation of silicon-germanium alloy was also observed in the case of laser annealing the Ge/Si layered structure. The crystalline volume ratio was estimated almost 1.0 for Si0.4Ge0.6 films because of small disordered electronic states at grain boundaries, while it was 0.85 for Si films..
349. Masanobu Miyao, Isao Tsunoda, Taizoh Sadoh, Akihiro Miyauchi, Ge fraction dependent improved thermal stability of in situ doped boron in polycrystalline Si 1-xGe x (0≤x≤0.5) films on SiON, Journal of Applied Physics, 10.1063/1.1854731, 97, 5, 2005.06, Postannealing characteristics of in situ doped B atoms in poly- Si1-x Gex (x≤0.5) films on SiON have been investigated. Supersaturated electrically active B (2× 1020 cm-3) is obtained for as-chemical vapor deposition samples, and their thermal stability is significantly improved by increasing Ge fraction, e.g., the stability for poly- Si0.6 Ge0.4 is ten times as high as that for poly-Si at 700-800°C. Such a Ge induced improvement will be a powerful tool to achieve poly-SiGe gate electrode for the next generation ultralarge scale integrated circuits. In addition, the deactivation process of electrically active B has been analyzed. Results indicated that deactivation processes consist of fast and slow processes. The former is due to movement of B atoms from substitutional to interstitial sites, which is enhanced by a local strain induced by the difference in atomic radii between Si and B atoms. The slow process was due to trapping of B at grain boundaries during grain growth. The two-state model based on the local strain compensation by Ge doping is proposed, which can well explain the Ge dependent thermal stability of electrically active B atoms..
350. T. Sadoh, R. Matsuura, M. Miyao, M. Ninomiya, M. Nakamae, T. Enokida, Enhanced stress relaxation in ultrathin SiGe-on-insulator by H+ -implantation-assisted oxidation, Applied Physics Letters, 10.1063/1.1935028, 86, 21, 1-13, 2005.05, Effects of H+ implantation (≤5× 1016 cm-2) on stress relaxation in an oxidation-induced Ge condensation method have been investigated to form stress-relaxed ultrathin (~30 nm) SiGe-on-insulator (SGOI) virtual substrates. High-dose (≤ 1015 cm-2) implantation enhanced stress relaxation, which was attributed to bond breaking at the SiGeburied SiO2 interface. However, oxidation velocity was also enhanced due to irradiation defects. Two-step annealing (500 °C for 30 min and 850 °C for 60 min) before oxidation was proposed to remove irradiation defects. This achieved enhanced stress relaxation in ultrathin SGOI without changing oxidation velocity..
351. Taizoh Sadoh, Ryo Matsuura, Masaharu Ninomiya, Masahiko Nakamae, Toyotsugu Enokida, Hiroyasu Hagino, Masanobu Miyao, Improvement of Oxidation-Induced Ge Condensation Method by H^+ Implantation and Two-Step Annealing for Highly Stress-Relaxed SiGe-on-Insulator, Japanese Journal of Applied Physics, Part 2: Letters, 44, 4, 2357-2360, 2005.04.
352. Taizoh Sadoh, Ryo Matsuura, Masaharu Ninomiya, Masahiko Nakamae, Toyotsugu Enokida, Hiroyasu Hagino, Masanobu Miyao, Improvement of oxidation-induced Ge condensation method by H+ implantation and two-step annealing for highly stress-relaxed SiGe-on-insulator, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.44.2357, 44, 4 B, 2357-2360, 2005.04, The effects of the H- implantation (8.1 keV and 0-5 × 1016 cm-2) and two-step annealing (1st: 500°C for 30 min, 2nd: 850°C for 60 min) on the stress relaxation of c-Si 1-xGex buffer layers on insulator (SGOI) formed by the oxidation-induced Ge condensation process have been investigated. The stress relaxation of SGOI during oxidation (1100°C) was significantly improved by high-dose (>1015 cm-2) H+ implantation. However, the oxidation was also enhanced by the implantation. The enhanced oxidation was completely suppressed by the two-step annealing before oxidation. The enhanced stress relaxation was attributed to the enhanced gliding of the c-Si1-xGex layers on SiO2. This newly developed combination method of H- implantation, the two-step annealing, and the oxidation-induced Ge condensation will be a powerful tool in the fabrication of highly relaxed SGOI for growth of strained Si layers..
353. Hiroshi Kanno, Tomohisa Aoki, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Low-Temperature Formation of Poly-Si_Ge_x (x:0-1) on SiO_2 by Au-Mediated Lateral Crystallization, Japanese Journal of Applied Physics, Part 2: Letters, 44, 4, 2405-2408, 2005.04.
354. Hiroshi Kanno, Tomohisa Aoki, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Low-temperature formation of poly-Si1-xGex (x
0-1) on SiO2 by Au-mediated lateral crystallization, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.44.2405, 44, 4 B, 2405-2408, 2005.04, The Au-mediated low-temperature (400°C) crystallization of amorphous-Si1-xGex (x: 0-1) on SiO2 has been investigated. A growth velocity exceeding 20μm/h was obtained for samples in the entire range of Ge fractions (x: 0-1), although it decreased with increasing Ge fraction. These values are much higher than those obtained by conventional Ni-mediated crystallization. As a result, strain-free poly-S 1-xGex (x: 0-1) with large areas (>20μm) were obtained at a low temperature (400°C). This newly developed method has a high potential for fabricating poly-Si1-xGex (x: 0-1) on a glass substrate..
355. Hiroshi Kanno, Tomohisa Aoki, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, 400 °c Formation of poly-SiGe on SiO2 by Au-induced lateral crystallization, Materials Science in Semiconductor Processing, 10.1016/j.mssp.2004.09.060, 8, 1-3 SPEC. ISS., 79-82, 2005.02, Au-induced low-temperature (400 °C) crystallization of amorphous-Si1-xGex (x: 0-1) thin films on SiO2 has been investigated. Although the growth velocity decreased with increasing Ge fraction, growth velocity exceeding 20 μm/h was obtained in all Ge fractions. As a result, strain-free poly-Si1-xGex with large areas (>20 μm) were obtained at a low temperature (400 °C). These new polycrystalline SiGe films on insulator could be used for advanced system in display and three-dimensional ULSI..
356. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Ge-enhanced MILC velocity in a-Ge/a-Si/SiO2 layered structure, Materials Science in Semiconductor Processing, 10.1016/j.mssp.2004.09.036, 8, 1-3 SPEC. ISS., 83-88, 2005.02, Ni-mediated low-temperature solid-phase crystallization in a-Ge/a-Si/SiO2 layered structure has been investigated. Crystal nucleation was initiated in the a-Ge layer, which stimulated the bond rearrangement in a-Si. This enhanced lateral crystallization velocity of a-Si three times greater than that of a-Si/SiO2 single structure. As a result, poly-Si films with large areas (∼10 μm for 5 h and ∼30 μm for 15 h) were obtained after 550 °C annealing. This will be a powerful tool for realizing large poly-Si areas on insulating films for system-in-displays and three-dimensional ultra-large-scale integrated circuits..
357. T. Sadoh, R. Matsuura, M. Ninomiya, M. Nakamae, T. Enokida, H. Hagino, M. Miyao, H+ implantation-enhanced stress relaxation in c-Si 1-xGex on SiO2 during oxidation-induced Ge condensation process, Materials Science in Semiconductor Processing, 10.1016/j.mssp.2004.09.043, 8, 1-3 SPEC. ISS., 167-170, 2005.02, Enhancement effects of H+ implantation on stress relaxation of c-Si1-xGex layers on SiO2 during oxidation-induced Ge condensation process have been investigated. Stress relaxation of c-Si1-xGex layers during oxidation (1100 °C) was significantly improved by high-dose (>1015 cm -2) H+ implantation. However, oxidation was also enhanced by implantation. Enhanced oxidation was completely suppressed by the two-step annealing (1st: 500 °C for 30 min, 2nd: 850 °C for 60 min) before oxidation. The enhanced stress relaxation was tentatively assigned to enhanced gliding of c-Si1-xGex layers on SiO2. This newly developed combination method of H+ implantation, two-step annealing, and oxidation-induced Ge condensation will be a powerful tool to fabricate highly relaxed c-Si1-xGex buffer layers for growing strained Si layers..
358. M. Tanaka, T. Sadoh, M. Ninomiya, M. Nakamae, T. Enokida, M. Miyao, Ion-beam enhanced stress-relaxation of SiGe on SiO2, 2005 MRS Fall Meeting Growth, Modification and Analysis by Ion Beams at the Nanoscale, 10.1557/proc-0908-oo05-21, 50-54, 2005.01, The Ge condensation by oxidation of SiGe/Si-on-insulator (SOI) structures enabled highly stress relaxed SGOI. However, the relaxation rate obtained in the SiGe layers on insulator (SGOI) abruptly decreased with decreasing SiGe thickness below 50 nm. In order to enhance the relaxation rate in ultra-thin SGOI, the technique combined with H+ irradiation with medium dose (5×1015 cm-2) and post-annealing (1200 °C) has been developed. It was demonstrated that highly relaxed (70 %) ultra-thin SGOI with low defect density (6 cm-2) has been realized by this technique..
359. Taizoh Sadoh, Ryo Matsuura, Masaharu Ninomiya, Masahiko Nakamae, Toyotsugu Enokida, Hiroyasu Hagino, Masanobu Miyao, Improvement of Oxidation-Induced Ge Condensation Method by H+ Implantation and Two-Step Annealing for Highly Stress-Relaxed SiGe-on-Insulator, Japanese Journal of Applied Physics, Part 2: Letters, 10.1143/JJAP.44.2357, 44, 4, 2357-2360, 2005, The effects of the H+ implantation (8.1 keV and 0–5×1016 cm−2) and two-step annealing (1st: 500°C for 30 min, 2nd: 850°C for 60 min) on the stress relaxation of c-Si1−xGex buffer layers on insulator (SGOI) formed by the oxidation-induced Ge condensation process have been investigated. The stress relaxation of SGOI during oxidation (1100°C) was significantly improved by high-dose (>1015 cm−2) H+ implantation. However, the oxidation was also enhanced by the implantation. The enhanced oxidation was completely suppressed by the two-step annealing before oxidation. The enhanced stress relaxation was attributed to the enhanced gliding of the c-Si1−xGex layers on SiO2. This newly developed combination method of H+ implantation, the two-step annealing, and the oxidation-induced Ge condensation will be a powerful tool in the fabrication of highly relaxed SGOI for growth of strained Si layers..
360. Hajime Watakabe, Toshiyuki Sameshima, Hiroshi Kanno, Taizoh Sadoh, Masanobu Miyao, Formation of polycrystalline-silicon-germanium films by pulsed laser-induced rapid annealing, Fifth International Symposium on Laser Precision Microfabrication Proceedings of SPIE - The International Society for Optical Engineering, 10.1117/12.596398, 5662, 428-431, 2004.12, Pulsed laser rapid annealing of silicon-germanium (SiGe) films on quartz glass substrate was investigated. Laser-induced melt-regrowth properties were analyzed by the transient conductance measurements. The maximum electrical conductivity of the germanium films associated with the maximum melt depth was increased from 370 to 4420 S/cm as laser energy density increased from 130 to 200 mJ/cm2. The complete melting of the films was observed at laser energy density above 200 mJ/cm2. The electrical conductivity of the silicon films was increased from 340 to 4480 S/cm as laser energy density increased from 280 to 530 mJ/cm2. The melt duration of germanium films slightly increased from 73 to 81 ns as the laser energy density increased from 130 to 180 mJ/cm2. On the other hand, the melt duration of the silicon films was increased from 56 to 120 ns as laser energy density increased from 330 to 500 mJ/cm2. In the complete melting condition, they were furthermore increased to 117 and 145 ns for germanium and silicon, receptivity. The average size of crystalline grain was increased from 66 to 120 nm as germanium concentration increased from 20 to 60 %. The crystallization to the lateral direction induced by the deep melting and rapid solidification increased the grain size..
361. T. Sadoh, K. Nagatomo, I. Tsunoda, A. Kenjo, T. Enokida, M. Miyao, Enhanced crystal nucleation in a-Si on SiO2 by local Ge doping, Thin Solid Films, 10.1016/j.tsf.2004.06.010, 464-465, 99-102, 2004.10, The effects of local Ge doping on the crystal nucleation in the solid-phase crystallization (SPC) of a-Si films on SiO2 films were investigated. Three types of sample structures, (a) a-Si/a-Ge/a-Si/SiO2, (b) a-Si/a-Ge/SiO2, and (c) SiO2/a-Ge/a-Si/SiO2, were prepared and annealed at 600 °C. For the structure (a) with a thin (∼5 nm) Ge film, Ge atoms completely diffused into a-Si films, and SPC was not enhanced. On the other hand, for the structure (a) with Ge films thicker than 10 nm, Ge atoms were localized at the initial position. Such abnormal retardation of Ge diffusion was remarkable for the structures (b) and (c) even for samples with thin Ge films. For samples with Ge localization, significant enhancement of SPC of a-Si was observed. These results indicated that crystal nucleation was initiated in the localized Ge films, and then propagated into a-Si films. The local Ge doping at a-Si/SiO2 interfaces can be employed to selectively induce the nucleation at the interfaces..
362. T. Sadoh, Y. Murakami, A. Kenjo, T. Enokida, T. Yoshitake, M. Itakura, M. Miyao, Strain in β-FeSi 2 modulated by Ge segregation in solid-phase growth of [a-Si/a-FeSiGe] n stacked structure, Applied Surface Science, 10.1016/S0169-4332(04)01007-4, 237, 1-4, 146-149, 2004.10, Solid-phase growth of the [a-Si/a-FeSiGe] n (n: 1, 2, 4; total thickness: 500nm) stacked structure has been investigated. After annealing at 700°C, the [a-SiGe/β-FeSi 2 (Ge)] n stacked structures were formed. From the analysis of the X-ray diffraction spectra, it was found that β-FeSi 2 (Ge) was strained by 0.4-0.5% for n = 1. With increasing n, the strains decreased, which was due to segregation of Ge atoms from the a-FeSiGe layers to the a-Si layers. After annealing at 800°C, agglomeration of β-FeSi 2 occurred and Ge atoms vanished completely from the β-FeSi 2 lattice. Thus, nanocrystals of relaxed β-FeSi 2 and c-Si 0.7 Ge 0.3 were formed. These new structures can be useful for formation of opto-electrical devices..
363. Taizoh Sadoh, Ryo Matsuura, M. Ninomiya, M. Nakamae, T. Enokida, H. Hagino, M. Miyao, Improvement of Oxidation-Induced Ge Condensation Method by H^+ Implantation and Two-Step Annealing for Highly Stress-Relaxed SGOI, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2004, 780-781, 2004.09.
364. Hiroshi Kanno, Tomohisa Aoki, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Low-Temperature Formation of Poly-Si_Ge_x (x:0-1) on SiO_2 by Au-Mediated Lateral Crystallization, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2004, 516-517, 2004.09.
365. T. Sadoh, M. Owatari, Y. Murakami, A. Kenjo, T. Yoshitake, M. Itakura, T. Enokida, M. Miyao, Formation of SiGe/β-FeSi2 superstructures from amorphous Si/FeSiGe layers, Proceedings of Symposium on Semiconducting Silicides Thin Solid Films, 10.1016/j.tsf.2004.02.068, 461, 1, 77-80, 2004.08, Growth of SiGe/β-FeSi2 superstructures by annealing of a-Si/a-FeSiGe layered structures was investigated for control of the strains in β-FeSi2 by Ge doping. The [a-SiGe/β-FeSi 2(Ge)]n multi-layered structures were formed after annealing at 700°C. From the analysis of the X-ray diffraction (XRD) spectra, it was found that β-FeSi2(Ge) was strained by 0.4-0.5% for the sample with n=1. The strains decreased with increasing n, which was due to that the segregation of the Ge atoms from the a-Fe0.4Si 0.5Ge0.1 layers to the a-Si layers became large with increasing n. After annealing at 800°C, agglomeration of β-FeSi 2 occurred, and nanocrystals of relaxed β-FeSi2 and c-Si0.7Ge0.3 were formed. These demonstrate that the SiGe/β-FeSi2 superstructures were formed by the Ge segregation. These new structures are useful for formation of opto-electrical devices..
366. Y. Murakami, Y. Tsukahara, A. Kenjo, T. Sadoh, Y. Maeda, M. Miyao, Impurity conduction in ion beam synthesized β-FeSi2/Si, Proceedings of Symposium on Semiconducting Silicides Thin Solid Films, 10.1016/j.tsf.2004.02.071, 461, 1, 198-201, 2004.08, Carrier transport in ion-beam synthesized (IBS) β-FeSi2 was investigated by using Hall effect measurement at low temperatures (15-300 K). The measurement showed p-type conduction in the temperature range of 15-300 K. The Hall coefficient increased with increasing temperature up to 25 K, and then it decreased, which suggested the two carrier conduction, i.e., the impurity conduction, as well as the conduction in the valence band, play an important role in the carrier transport. Based on the two carrier model, the hole concentration and mobility for the impurity conduction at 25 K were evaluated to be 9.9×1017 cm-3 and 0.85 cm2 V -1 s-1, respectively, which suggested that the acceptors were isolated and did not form the impurity band at the impurity concentration of 9.9×1017 cm-3. Thus, the threshold concentration for the impurity band formation was more than three orders of magnitude higher than that for GaAs (2×1016 cm-3), which could be explained on the basis of the Mott criterion..
367. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Modified metal-induced lateral crystallization using amorphous Ge/Si layered structure, Applied Physics Letters, 10.1063/1.1780595, 85, 6, 899-901, 2004.08, Amorphous layered structures of Ge/Si were used for investigating metal-induced lateral crystallization (MILC). Secondary-ion mass spectroscopy (SIMS) assisted in the evaluation of the concentration profiles of Si, Ge, and Ni atoms at room temperature. As compared with the conventional MILC using a-Si single layers, modified MILC velocity increased by three times. In a short time annealing, poly-Si films with large areas were obtained. The bond rearrangement in the a-Si layers that was induced by crystal nucleation in a-Ge layers was responsible for the enhancement obtained for the layered structures..
368. Y. Murakami, A. Kenjo, T. Sadoh, T. Yoshitake, M. Miyao, Solid-phase crystallization of β-FeSi2 thin film in Fe/Si structure, Proceedings of Symposium on Semiconducting Silicides Thin Solid Films, 10.1016/j.tsf.2004.02.065, 461, 1, 68-71, 2004.08, Dependence of solid-phase growth of β-FeSi2 thin films on the crystal orientation of Si substrates has been investigated by using a-Fe (thickness: 20 nm)/c-Si(100), (110) and (111) stacked structures. X-ray diffraction (XRD) measurements suggested that the substrate orientation dependence of the formation rate of β-FeSi2 was as follows: (100)>(111)>(110). This dependence can be explained on the basis of the lattice mismatch between β-FeSi2 and Si substrates, i.e., the lattice mismatch between β-FeSi2(100) and Si(100), β-FeSi2(110) or (101) and Si(111), and β-FeSi 2(010) or (001) and Si(110) of 1.4-2.0%, 5.3-5.5% and 9.2%, respectively. The substrate orientation dependence of solid-phase growth becomes relatively remarkable for very thin films..
369. Yoshihito Y. Maeda, Kevin P. Homewood, Takashi Suemasu, Taizoh Sadoh, Haruhiko Udono, Yamaguchi Kenji, Thin Solid Films
Preface, Proceedings of Symposium on Semiconducting Silicides Thin Solid Films, 10.1016/j.tsf.2004.02.048, 461, 1, 2004.08.
370. H. Watakabe, T. Sameshima, H. Kanno, T. Sadoh, M. Miyao, Electrical and structural properties of poly-SiGe film formed by pulsed-laser annealing, Journal of Applied Physics, 10.1063/1.1707216, 95, 11 I, 6457-6461, 2004.06, The electrical and structural properties of polycrystalline silicon germanium (poly-SiGe) films were studied. The films were fabricated by using pulsed-laer annealing and their melt depth and crystallization velocity increased as Ge concentration increased. The average size of crystalline grains also increased from 66 to 120 nm at the laser energy density of 360 mJ/cm 2 with increasing Ge concentration from 0 to 60%. The results show that the density of electrically active defects decreased from 3.5×10s18s to 1.1×1018 cm-3 as Ge concentration increased from 0 to 80%..
371. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Enhanced metal-induced lateral crystallization in amorphous Ge/Si/SiO 2 layered structure, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.43.1852, 43, 4 B, 1852-1855, 2004.04, Metal-induced lateral crystallization (MILC) in a-Ge/a-Si/SiO2 layered structure has been investigated. Crystal nucleation was initiated in the a-Ge layer, which stimulated the bond rearrangement in a-Si. The MILC velocity of a-Si was successfully increased becoming threefold higher than that of a-Si/SiO2 single structure. As a result, poly-Si films with large areas were obtained after 550°C annealing, i.e., ∼10 μm for 5 h and ∼30 μm for 15 h. This will be a powerful tool for realizing large poly-Si areas on insulating films for system-in-displays and three-dimensional ultra-large scale integrated circuits..
372. Taizoh Sadoh, Masakazu Owatari, Yuji Murakami, Atsushi Kenjo, Tsuyoshi Yoshitake, Masaru Itakura, Masanobu Miyao, Formation of β-FeSi2-xGex by Ge-segregation-controlled solid-phase growth of [a-Si/a-FeSiGe]n multilayered structure, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.43.1879, 43, 4 B, 1879-1881, 2004.04, The solid-phase growth of [a-Si/a-Fe0.4Si0.5Ge 0.1]n (n = 1, 2, 4; total thickness: 500 nm) multilayered structures has been investigated. After annealing at 700°C, [a-SiGe/polycrystalline β-FeSi2-xGex]n (x = 0.5, 0.4, 0.2 for n = 1, 2, 4, respectively) multilayered structures were formed. From the analysis of X-ray diffraction spectra, it was found that the lattice constants of β-FeSi1.5Ge0.5 changed from those of relaxed β-FeSi2 by 0.4-0.5%. The change decreased with increasing n, which was due to the segregation of Ge atoms from the a-Fe 0.4Si0.5Ge0.1 layers to the a-Si layers becoming larger with increasing n. After annealing at 800°C, Ge atoms were completely swept out from the β-FeSi2-xGex lattice. In addition, the agglomeration of βFeSi2 occurred, and nanocrystals of relaxed β-FeSi2 and c-Si0.7Ge 0.3 were formed. This technique for the formation of βFeSi 2-xGex is expected to be useful for energy gap modulation for advanced optoelectrical devices..
373. Taizoh Sadoh, Masakazu Owatari, Yuji Murakami, Atsushi Kenjo, Tsuyoshi Yoshitake, Masaru Itakura, Masanobu Miyao, Formation of β-FeSi_Ge_x by Ge-Segregation-Controlled Solid-Phase Growth of [a-Si/a-FeSiGe]_n Multilayered Structure, Japanese Journal of Applied Physics, Part 2: Letters, 10.1143/JJAP.43.1879, 43, 4, 1879-1881, 2004.04, The solid-phase growth of [a-Si/a-Fe0.4Si0.5Ge0.1]n (n=1, 2, 4; total thickness: 500 nm) multilayered structures has been investigated. After annealing at 700°C, [a-SiGe/polycrystalline β-FeSi2−xGex]n (x=0.5, 0.4, 0.2 for n=1, 2, 4, respectively) multilayered structures were formed. From the analysis of X-ray diffraction spectra, it was found that the lattice constants of β-FeSi1.5Ge0.5 changed from those of relaxed β-FeSi2 by 0.4–0.5%. The change decreased with increasing n, which was due to the segregation of Ge atoms from the a-Fe0.4Si0.5Ge0.1 layers to the a-Si layers becoming larger with increasing n. After annealing at 800°C, Ge atoms were completely swept out from the β-FeSi2−xGex lattice. In addition, the agglomeration of β-FeSi2 occurred, and nanocrystals of relaxed β-FeSi2 and c-Si0.7Ge0.3 were formed. This technique for the formation of β-FeSi2−xGex is expected to be useful for energy gap modulation for advanced optoelectrical devices..
374. Isao Tsunoda, Kei Nagatomo, Atsushi Kenjo, Taizoh Sadoh, Shinya Yamaguchi, Masanobu Miyao, Nucleation Control in Solid-Phase Crystallization of a-Si/SiO_2 by Local Ge Insertion, Japanese Journal of Applied Physics, Part 2: Letters, 10.1143/JJAP.43.1901, 43, 4, 1901-1904, 2004.04, The effects of local Ge insertion on the solid-phase crystallization (SPC) of a-Si films have been investigated. Three types of stacked structures, i.e., (a) a-Si/a-Ge/a-Si/SiO2, (b) a-Si/a-Ge/SiO2, and (c) SiO2/a-Ge/a-Si/SiO2, were annealed at 600°C. For structure (a) with thin (∼5 nm) Ge films, Ge atoms completely diffused into both sides of a-Si regions, and SPC was not enhanced. However, when Ge thickness was increased to more than 10 nm, Ge atoms were localized. Such localization became significant for structures (b) and (c) even for samples with thin Ge films. In addition, significant enhancement of SPC of a-Si was observed. These results indicated that crystal nucleation was initiated in Ge layers, and then propagated into a-Si layers. Therefore, interface-nucleation-driven SPC becomes possible using structures (b) and (c). This will be a useful tool in achieving oriented Si growth on SiO2..
375. Isao Tsunoda, Kei Nagatomo, Atsushi Kenjo, Taizoh Sadoh, Shinya Yamaguchi, Masanobu Miyao, Nucleation control in solid-phase crystallization of a-Si/SiO2 by local Ge insertion, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.43.1901, 43, 4 B, 1901-1904, 2004.04, The effects of local Ge insertion on the solid-phase crystallization (SPC) of a-Si films have been investigated. Three types of stacked structures, i.e., (a) a-Si/a-Ge/a-Si/SiO2, (b) a-Si/a-Ge/SiO2, and (c) SiO2/a-Ge/a-Si/SiO2, were annealed at 600°C. For structure (a) with thin (∼5 nm) Ge films, Ge atoms completely diffused into both sides of a-Si regions, and SPC was not enhanced. However, when Ge thickness was increased to more than 10nm, Ge atoms were localized. Such localization became significant for structures (b) and (c) even for samples with thin Ge films. In addition, significant enhancement of SPC of a-Si was observed. These results indicated that crystal nucleation was initiated in Ge layers, and then propagated into a-Si layers. Therefore, interface-nucleation-driven SPC becomes possible using structures (b) and (c). This will be a useful tool in achieving oriented Si growth on SiO2..
376. Isao Tsunoda, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Enhanced crystal nucleation in a-SiGe/SiO 2 by ion-irradiation assisted annealing, Applied Surface Science, 10.1016/j.apsusc.2003.08.051, 224, 1-4, 231-234, 2004.03, Ion beam stimulated solid phase crystallization of a-Si 1-x Ge x (0≤x≤1) on SiO 2 has been investigated. The critical temperature to cause crystal nucleation can be successfully decreased by 150°C for a-Si 1-x Ge x with all Ge fractions (0-100%) by using ion stimulation. As a result, crystal growth below the softening temperature (∼500°C) of glass substrates was achieved for samples with Ge fractions exceeding 50%. This method combined with Ge doping and ion stimulation will be a powerful tool to fabricate poly-SiGe TFTs on low cost glass substrates..
377. Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Enhancement of metal-induced crystallization in Ge/Si/Ni/SiO2 layered structure, Proceedings of Symposium D on Thin Film and Nano-Structured Thin Solid Films, 10.1016/j.tsf.2003.11.059, 451-452, 324-327, 2004.03, Influences of crystallinity in the precursor on metal-induced lateral crystallization of a-Si on SiO2 have been investigated. It was found that the growth velocity during low temperature annealing (approx. 550 °C) could be enhanced by the pre-annealing treatment (300̃600 °C). This result triggered off the idea of MILC in multi-layered structure, i.e. a-Ge/a-Si/Ni-pattern/SiO2. Utilization of this structure successfully enhanced MILC growth velocity. This is because crystal nucleation initiated in a-Ge modulated crystallinity in the precursor (a-Si). As a result, poly-Si with large grains (approx. 10 μm) was achieved in a short time annealing (
378. T. Sadoh, H. Kanno, A. Kenjo, M. Miyao, Ge-dependent morphological change in poly-SiGe formed by Ni-mediated crystallization, Applied Surface Science, 10.1016/j.apsusc.2003.08.050, 224, 1-4, 227-230, 2004.03, Low-temperature (≤550°C) Ni-mediated crystallization of amorphous SiGe (a-Si 1-x Ge x (0≤x≤1)) layers on SiO 2 films has been investigated. The morphology of crystallized SiGe strongly depended on the Ge fraction. For low Ge fractions (0.8 Ge 0.2 films with large grains (18μm). On the other hand, dendrite growth was dominant for intermediate Ge fractions (40-60%). Directions and widths of dendrites became straight and narrow with decreasing annealing temperature. Very sharp needle-like crystals (width: 0.05μm, length: 10μm) were obtained at the optimized growth conditions (Ge fraction: 40%, annealing: 450°C, 20h). These new poly-SiGe films on insulator should be utilized for the advanced system-in-displays and novel devices such as one-dimensional quantum wires..
379. I. Tsunoda, K. Nagatomo, A. Kenjo, Taizoh Sadoh, M. Miyao, Solid-phase crystallization of high-quality Si films on SiO2 by local Ge-insertion, Proceedings of Symposium D on Thin Film and Nano-Structured Thin Solid Films, 10.1016/j.tsf.2003.11.011, 451-452, 489-492, 2004.03, Effects of local Ge-insertion in a-Si films on solid-phase crystallization (SPC) were investigated. Three types of stacked structures, i.e. (a) a-Si/a-Ge/a-Si/SiO2, (b) a-Si/a-Ge/SiO2 and (c) SiO 2/a-Ge/a-Si/SiO2 were fabricated and annealed at 600 °C. The results for the structure (a) showed that Ge atoms diffused into the Si layers, and no-enhanced SPC was detected. On the other hand, the results for the structures (b) and (c) indicated that Ge atoms were localized in the initial position. In addition, significant enhancement of SPC of a-Si was found. These results indicated that crystal nucleation was initiated at Ge layers directly contacted to SiO2, and then propagated into a-Si layer. Consequently, interface nucleation driven SPC becomes possible by using the structures (b) and (c). This will be a useful tool to achieve growth of Si crystals with a preferential orientation on SiO2..
380. Isao Tsunoda, Taizoh Sadoh, Masanobu[et al] Miyao, Furnace Annealing Behavior of B-deped Poly-SiGe Formed on Insulating Film, Research Reports on Information Science and Electrical Engineering of Kyushu University, 10.15017/1515848, 8, 2, 151-154, 2003.09, Furnace-annealing behavior of B-doped poly-SiGe on insulating films has been investigated. With increasing Ge fraction, thermal stability of electrically active B atoms at a supersaturated concentration was significantly improved, for example, the stability at 800℃ for poly-Si_Ge_ films was nine times as high as that for poly-Si films. The deactivation process consists of the fast and slow processes. The fast process was due to sweeping out of B atoms from substitutional to interstitial sites, enhanced by a local strain induced by the difference in atomic radii between Si and B atoms, and the slow process was due to trapping of B at grain boundaries during grain growth by annealing. The improved thermal stability of B atoms is due to the local strain compensation by Ge doping..
381. Isao Tsunoda, Taizoh Sadoh, Masanobu Miyao, Furnace annealing behavior of B-doped poly-SiGe formed on insulating film, Research Reports on Information Science and Electrical Engineering of Kyushu University, 8, 2, 151-154, 2003.09, Furnace-annealing behavior of B-doped poly-SiGe on insulating films has been investigated. With increasing Ge fraction, thermal stability of electrically active B atoms at a supersaturated concentration was significantly improved, for example, the stability at 800°C for poly-Si0.6Ge0.4 films was nine times as high as that for poly-Si films. The deactivation process consists of the fast and slow processes. The fast process was due to sweeping out of B atoms from substitutional to interstitial sites, enhanced by a local strain induced by the difference in atomic radii between Si and B atoms, and the slow process was due to trapping of B at grain boundaries during grain growth by annealing. The improved thermal stability of B atoms is due to the local strain compensation by Ge doping..
382. Y. Murakami, M. Miyata, A. Kenjo, T. Sadoh, M. Miyao, Relaxation process of ion irradiation defects in IV-semiconductors, E-MRS 2002 Symposium E Materials Science and Engineering B: Solid-State Materials for Advanced Technology, 10.1016/S0921-5107(02)00620-7, 102, 1-3, 362-365, 2003.09, Relaxation process of ion irradiation defects in IV-semiconductors (Si, Si0.85Ge0.15, Ge, and heavily P-doped ( ∼ 10 20 cm-3) n+-Si) was investigated. The IV-semiconductors were irradiated with 25 keV Ar+ ions (dose: 1 × 1013-1 × 1016 cm-2, dose rate: 3 × 1011-6 × 1012 cm-2 s -1, temperature: 25-250 °C). Amorphicity was comprehensively evaluated by using spectroscopic ellipsometry. For samples irradiated at temperatures around 100 °C, dose rate dependence of amorphicity was remarkably observed. The Arrhenius plot of critical dose rate, at which defect generation and annihilation rates were balanced, showed that activation energies for defect relaxation process were 0.43, 0.51, 0.88, and 0.18 eV for Si, Si0.85Ge0.15, Ge, and n+-Si, respectively. These results suggest that defect relaxation process is governed by migration of V0 in Si, Si0.85Ge0.15, and Ge, while V 2- in n+-Si. The migration energy of V0 in Si0.85Ge0.15 obeys the Vegard's law..
383. Isao Tsunoda, Kei Nagatomo, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Solid phase crystallization of a-Si in Si/Ge multi-layer, Research Reports on Information Science and Electrical Engineering of Kyushu University, 8, 2, 147-150, 2003.09, We have investigated the effects of local Ge-insertion in a-Si films on solid-phase crystallization (SPC). Experiments were performed by using three types of stacked structures, i.e., (a) a-Si/a-Ge/a-Si/SiO2, (b) a-Si/a-Ge/SiO2, and (c) SiO2/a-Ge/a-Si/SiO2. These samples were annealed at 600°C. The results for the structure (a) with Ge films of 5 nm, Ge atoms were completely diffused into a-Si regions, and no-enhanced SPC was detected. However, if Ge thickness was increased to 15 nm, Ge atoms were localized. Such phenomena became significant by (b) and (c) structures even for samples with Ge films of 5 nm. In addition, significant enhancement of SPC of a-Si was found. These results indicated that crystal nucleation was initiated at Ge layers, and then propagated into a-Si layer. Therefore, interface nucleation driven SPC becomes possible by using the structures (b) and (c). It is expected that this process can be used to grow oriented Si crystals on SiO2..
384. Yuji Murakami, Yutaka Yoshikado, Atsushi Kenjo, Tsuyoshi Yoshitake, Taizoh Sadoh, Taizo Sado, Solid-Phase Growth of β-FeSi_2 on Si Substrates with Different Crystal Orientations, Research Reports on Information Science and Electrical Engineering of Kyushu University, 10.15017/1515849, 8, 2, 155-158, 2003.09, Orientation dependent solid-phase growth of β-FeSi_2 thin films on (100), (110), and (111) Si substrates has been investigated by using a-Fe (thickness: 20 nm)/c-Si stacked structures. XRD measurements suggested that the substrate orientation dependence of the formation rate of β-FeSi_2 was as follows: (100) > (111) > (110). This dependence can be explained on the basis of the lattice mismatch between β-FeSi_2 and Si substrates, i.e., the lattice mismatch between β-FeSi_2(100) and Si (100), β-FeSi_2 (110) or (101) and Si (111), and β-FeSi_2(010) or (001) and Si (110) are 1.4-2.0%, 5.3-5.5%, and 9.2%, respectively. The substrate orientation dependence of solid-phase growth becomes relatively remarkable for very thin films..
385. Yuji Murakami, Yutaka Yoshikado, Atsushi Kenjo, Tsuyoshi Yoshitake, Taizoh Sadoh, Solid-phase growth of β-FeSi2 on Si substrates with different crystal orientations, Research Reports on Information Science and Electrical Engineering of Kyushu University, 8, 2, 155-158, 2003.09, Orientation dependent solid-phase growth of β-FeSi2 thin films on (100), (110), and (111) Si substrates has been investigated by using a-Fe(thickness: 20 nm)/c-Si stacked structures. XRD measurements suggested that the substrate orientation dependence of the formation rate of β-FeSi2 was as follows: (100) > (111) > (110). This dependence can be explained on the basis of the lattice mismatch between β-FeSi2 and Si substrates, i.e., the lattice mismatch between β-FeSi2 (100) and Si (100), β-FeSi2 (110) or (101) and Si (111), and β-FeSi2 (010) or (001) and Si (110) are 1.4-2.0%, 5.3-5.5%, and 9.2%, respectively. The substrate orientation dependence of solid-phase growth becomes relatively remarkable for very thin films..
386. Yuji Murakami, Masakazu Owatari, Tsuyoshi Yoshitake, Masaru Itakura, Taizoh Sadoh, Strain modulation of β-FeSi2(Ge) formed by SPC of [a-Si/a-FeSiGe]n stacked-structure, Research Reports on Information Science and Electrical Engineering of Kyushu University, 8, 2, 189-191, 2003.09, Solid-phase growth of [a-Si/a-Fe0.4Si0.5Ge0.1]n stacked-structures has been investigated. [a-Si/a-Fe0.4Si0.5Ge0.1]n/c-Si (n=1, 2, 4) samples were annealed at 700-900°C for 30 min in a vacuum. The grown layers were analyzed by using Auger electron spectroscopy, the X-ray diffraction, Raman spectroscopy, and the transmission electron microscopy. After annealing at 700°C, β-FeSi2(Ge) strained by 0.4-0.5% was successfully formed for the sample with n=1. The strains became small with increasing n, i.e., with thinning layer. In addition, superstructures consisting of β-FeSi2 and c-Si0.7Ge0.3 nanocrystals were obtained by Ge segregation after annealing at 800°C. These new structures are useful for fabrication of opto-electrical devices..
387. Y. Murakami, I. Tsunoda, H. Kido, A. Kenjo, T. Sadoh, M. Miyao, T. Yoshitake, Enhanced solid-phase growth of β-FeSi2 by pre-amorphization, 13th International conference on Ion beam modification of Mate Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms, 10.1016/S0168-583X(03)00750-X, 206, 304-307, 2003.05, Effects of Ar+ ion-irradiation on solid-phase growth of β-FeSi2 have been investigated. Fe (10 nm)/Si structures were irradiated with 20 keV Ar+ (5.0 × 1015 cm-2) at room temperature (RT) or 400 °C, and subsequently annealed at 800 °C. The results of X-ray diffraction measurements suggested that the formation of β-FeSi2 for the sample irradiated at RT was faster than that irradiated at 400 °C. However, Auger electron spectroscopy measurements showed that atomic mixing at the Fe/Si interface before annealing was larger for the sample irradiated at 400 °C. These results suggested that amorphization of the Si substrate, in addition to atomic mixing at the Fe/Si interface, enhanced formation of β-FeSi2, which was attributed to atomic rearrangement induced during defect relaxation in annealing process..
388. T. Sadoh, H. Eguchi, A. Kenjo, M. Miyao, Etching characteristics of SiO2 irradiated with focused ion beam, 13th International conference on Ion beam modification of Mate Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms, 10.1016/S0168-583X(03)00800-0, 206, 478-481, 2003.05, The etching characteristics of SiO2 films, which were irradiated with Si2+ focused ion beams, in a buffered HF solution were comprehensively investigated. Dependence of the etching rate on the dose was investigated. The rate increased with increasing dose (8 × 1013-1 × 1015 cm-2), however it decreased for doses exceeding a critical value (1 × 1015 cm-2). The TRIM simulation indicated that irradiation with this critical value resulted in the concentration of vacancies at the Si site equal to that of Si atoms in SiO2. These results can be explained by considering the enhancement of etching by vacancies and the retardation by implanted Si atoms. The retardation was confirmed experimentally..
389. Taizoh Sadoh, Hiroomi Eguchi, Atsushi Kenjo, Masanobu Miyao, Dose-Dependent Etching Selectivity in SiO_2 by Focused Ion Beam, Japanese Journal of Applied Physics, Part 2: Letters, 42, 4, 1855-1858, 2003.04.
390. Taizoh Sadoh, Hiroomi Eguchi, Atsushi Kenjo, Masanobu Miyao, Dose-dependent etching selectivity in SiO2 by focused ion beam, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/jjap.42.1855, 42, 4 B, 1855-1858, 2003.04, The dose-dependent etching characteristics of SiO2 films irradiated with 40 keV Si2+ focused ion beams (FIBs) were comprehensively investigated. The etching rate in a buffered HF increased with increasing dose (8 × 1013-1 × 1015 cm-2), however it decreased for doses exceeding a critical value (1 × 1015 cm-2). The maximum selectivity of the etching rate of the irradiated region to the nonirradiated region was about 14, which was obtained for irradiation with the critical dose. The numerical simulation indicated that all of the Si atoms in SiO2 were displaced by irradiation with this critical dose. A simple model for the etching characteristics was proposed, in which the enhancement of etching by vacancies and the retardation of etching by implanted Si atoms were considered. The etching characteristics obtained by the experiments were quantitatively explained by the model..
391. Hiroshi Kanno, Isao Tsunoda, Atsushi Kenjo, Taizoh Sadoh, Shinya Yamaguchi, Masanobu Miyao, Metal-induced solid-phase crystallization of amorphous SiGe films on insulator, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/jjap.42.1933, 42, 4 B, 1933-1936, 2003.04, The metal-induced low-temperature (≤550°C) crystallization of a-Si1-xGex (0 ≤ × ≤ 1) on SiO2 has been investigated. A Ge-fraction-dependent crystal growth was observed. In the case of a low-Ge fraction, plane growth dominated, the velocity of which was enhanced by 80% with increasing Ge fraction from 0 to 20%. This produced strain-free poly-SiGe with large grains (18 μm). On the other hand, dendrite growth became dominant in the case of intermediate Ge fractions (40-60%). By optimizing the growth conditions (x: 0.4, annealing: 450°C, 20 h), very sharp needlelike crystals (width: 0.05 μm, length: 10 μm) were obtained. These new polycrystalline SiGe films on insulator should be used for system-in-display, three-dimensional ultra large-scall integrated circuits, and novel one-dimensional wires..
392. Y. Murakami, H. Kido, A. Kenjo, T. Sadoh, T. Yoshitake, and M. Miyao, Ion-Beam Irradiation Effect on Solid-Phase Growth of β-FeSi2, Physica E, 10.1016/S1386-9477(02)00641-0, 16, 3-4, 505-508, Vol.16/3-4, pp.505-508, 2003.03.
393. T. Sadoh, I. Tsunoda, T. Nagata, A. Kenjo, M. Miyao, Enhancement of bulk nucleation in a-Si1-xGex on SiO2 for low-temperature solid-phase crystallization, E-MRS, K Thin Solid Films, 10.1016/S0040-6090(02)01255-5, 427, 1-2, 96-100, 2003.03, Nucleation phenomena in a-Si1-xGex films on SiO2 were examined in order to achieve low-temperature solid-phase crystallization. First, film thickness dependence of nucleation was investigated. The nucleation frequency per unit area increased with increasing film thickness, which was attributed to that bulk nucleation was dominant compared with interface or surface nucleation. Next, in order to enhance bulk nucleation in thin films, effects of the initial amorphicity modulation induced by Ar+ irradiation (25 keV, 1×1016 cm-2) before annealing were investigated. The incubation time for nucleation in pre-irradiated samples during subsequent annealing at 600 °C was significantly decreased to 1/20 of that without pre-irradiation, which was tentatively assigned to enhancement of atomic arrangement induced by densification of a-Si1-xGex films. It is expected that optimization of the irradiation conditions will realize low-temperature (1-xGex films on SiO2..
394. Hiroshi Kanno, Isao Tsunoda, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Ge-fraction-dependent metal-induced lateral crystallization of amorphous-Si1-xGex (0≤x≤1) on SiO2, Applied Physics Letters, 10.1063/1.1564298, 82, 13, 2148-2150, 2003.03, The metal-induced low-temperature crystallization (MILC) of amorphous Si1-xGex on SiO2 was investigated. It was found that the growth velocity of MILC-Si1-xGex enhanced by 80% by increasing Ge fraction from 0% to 20% achieved poly-Si0.8Ge0.2 with large grains. Very sharp needle-like crystal regions were also obtained by optimizing the growth conditions..
395. Y. Murakami, H. Kido, A. Kenjo, T. Sadoh, T. Yoshitake, M. Miyao, Ion-beam irradiation effect on solid-phase growth of β-FeSi2, Symposium H of the Spring Meeting of the Europe (E-MRS-02H) Physica E: Low-Dimensional Systems and Nanostructures, 10.1016/S1386-9477(02)00641-0, 16, 3-4, 505-508, 2003.03, Effects of Ar+ ion-beam irradiation on solid-phase growth of β-FeSi2 have been investigated. Fe (10 nm)/Si structures were irradiated with 25 keV Ar+(5.0 × 1015 cm-2) at a temperature of 25°C (sample A) or 400°C (sample B), and subsequently annealed at 800°C. A reference was obtained after annealing without irradiation (sample C). X-ray diffraction results indicated that β-FeSi2 was formed after annealing at 800°C for 5 h, and the formation rate was the fastest for sample A and the slowest for sample C, i.e., A > B≫C. However, Auger electron spectroscopy measurements showed that atomic mixing at Fe/Si interface before annealing was B > A≫C. These results suggested that amorphization of Si substrate, in addition to atomic mixing, enhanced the solid-phase growth of β-FeSi2, which was confirmed experimentally. Moreover, a direct band gap of 0.89 eV was observed for the sample with pre-amorphization by the Fourier-transform infrared (FT-IR) spectroscopy measurements. These enhancement effects were attributed to that the phase transition to β-FeSi2 was accelerated by atomic arrangement induced during annihilation of excess vacancies. These enhancement effects can be utilized for nano-fabrication of β-FeSi2 by using focused ion-beam irradiation..
396. Y. Murakami, A. Kenjo, T. Sadoh, T. Yoshitake, M. Miyao, Formation of high quality β-FeSi2 by pre-amorphization- enhanced diffusion, Radiation Effects and Ion-Beam Processing of Materials Materials Research Society Symposium - Proceedings, 10.1557/proc-792-r9.16, 792, 201-205, 2003, Effects of Ar+ ion irradiation on solid-phase growth of β-FeSi2 have been investigated. Si substrates were amorphized with Ar+ ions (20 keV) before Fe (15 nm) deposition to form Fe(15 nm)/a-Si/c-Si stacked structures. As a reference, Fe/c-Si stacked structures were prepared. In the initial stage of annealing at 800°C, β-FeSi 2 formation was enhanced for pre-amorphized samples, which was due to the enhanced diffusion of silicidation species. In the long time annealing, β-FeSi2 formation proceeded by thermal equilibrium diffusion, and the formation rate was not affected by pre-amorphization. Crystal quality of β-FeSi2 was improved by pre-amorphization. The pre-amorphization enhanced diffusion is useful for formation of high quality β-FeSi2 thin films..
397. Hiroshi Kanno, Isao Tsunoda, Atsushi Kenjo, Taizoh Sadoh, Shinya Yamaguchi, Masanobu Miyao, Metal-Induced Solid-Phase Crystallization of Amorphous SiGe Films on Insulator, Japanese Journal of Applied Physics, Part 2: Letters, 10.1143/jjap.42.1933, 42, 4, 1933-1936, 2003, The metal-induced low-temperature (≤550°C) crystallization of a-Si1−xGex (0≤x≤1) on SiO2 has been investigated. A Ge-fraction-dependent crystal growth was observed. In the case of a low-Ge fraction, plane growth dominated, the velocity of which was enhanced by 80% with increasing Ge fraction from 0 to 20%. This produced strain-free poly-SiGe with large grains (18 μm). On the other hand, dendrite growth became dominant in the case of intermediate Ge fractions (40–60%). By optimizing the growth conditions (x: 0.4, annealing: 450°C, 20 h), very sharp needlelike crystals (width: 0.05 μm, length: 10 μm) were obtained. These new polycrystalline SiGe films on insulator should be used for system-in-display, three-dimensional ultra large-scall integrated circuits, and novel one-dimensional wires..
398. Taizoh Sadoh, Kei Nagatomo, Isao Tsunoda, Atsushi Kenjo, Masanobu Miyao, Position control of nucleation in solid-phase crystallization of a-Si/SiO2 by Ge layer insertion, Critical Interfacial Issues in Thin-Film Optoelectronic and Energy Conversion Devices Materials Research Society Symposium - Proceedings, 10.1557/proc-796-v2.5, 796, 39-43, 2003, The effects of Ge layer insertion on the solid-phase crystallization (SPC) of a-Si on SiO2 have been investigated. Three types of sample structures, i.e., (a) a-Si/a-Ge/a-Si/SiO2, (b) a-Si/a-Ge/SiO 2, and (c) SiO2/a-Ge/a-Si/SiO2, were prepared and annealed at 600°C. For the structure (a) with a thin (∼ 5 nm) Ge layer, Ge atoms completely diffused into a-Si, and SPC was not enhanced. On the other hand, for the structure (a) with Ge layers thicker than 10 nm, Ge atoms were localized at the initial position. Such a localization of Ge atoms was remarkable for the structures (b) and (c) even for samples with thin Ge layers. For samples with Ge localization, significant enhancement of SPC of a-Si was observed. These results indicated that crystal nucleation was initiated in the inserted Ge layers, and then propagated into a-Si. The Ge layer insertion can be employed for positioning of crystal nucleation in SPC of a-Si..
399. Y. Murakami, A. Kenjo, T. Sadoh, T. Yoshitake, M. Itakura, M. Miyao, Strain modulation of β-FeSi2 by Ge-segregation in solid-phase growth of [a-Si/a-FeSiGe]n multi-layer, Critical Interfacial Issues in Thin-Film Optoelectronic and Energy Conversion Devices Materials Research Society Symposium - Proceedings, 10.1557/proc-796-v2.9, 796, 57-62, 2003, Strain modulation of β-FeSi2 by Ge doping was investigated. By solid-phase growth of [a-Si/a-Fe0.4Si0.5Ge 0.1]n layered structures, the [a-SiGe/β-FeSi 2-xGex]n multi-layered structures (n=1, 2, 4) were formed after annealing at 700°C. From the analysis of the x-ray diffraction spectra, it was found that β-FeSi1.3Ge0.7 strained by 0.4-0.5 % was formed for the sample with n=1. This value corresponded to the band gap modulation of 30 meV based on the theoretical calculation. The strains decreased with increasing n, which was due to that segregation of Ge atoms from the a-Fe0.4Si0.5Ge 0.1 layers to the a-Si layers became significant with increasing n. After annealing at 800°C, agglomeration of β-FeSi2 occurred, and nanocrystals of relaxed β-FeSi2 and c-Si 0.7Ge0.3 were formed. These new structures are useful for formation of opto-electrical devices..
400. T. Sadoh, Fitrianto, A. Kenjo, A. Miyauchi, H. Inoue, and M. Miyao, Mechanism of Improved Thermal Stability of B in poly-SiGe Gate on SiON, Jpn. J. Appl. Phys, 10.1143/JJAP.41.2468, 41, 4B, 2468-2471, Part 1, Vol. 41, No.4B, pp.2468-2471, 2002.04.
401. Taizoh Sadoh, Fitrianto, Atsushi Kenjo, Akihiro Miyauchi, Hironori Inoue, Masanobu Miyao, Mechanism of improved thermal stability of B in poly-SiGe gate on SiON, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/JJAP.41.2468, 41, 4 B, 2468-2471, 2002.04, Post-annealing characteristics of in situ B-doped poly-SiGe films have been investigated. Thermal stability of substitutional B atoms at a supersaturated concentration was significantly improved by Ge doping, for example, the stability at 800°C for poly-Si0.6Ge0.4 films was nine times as high as that for poly-Si films. Both fast and slow processes exist for the deactivation of B. The fast process was due to movement of B atoms from substitutional to interstitial sites, enhanced by a local strain induced by the difference in atomic radii between Si and B atoms, and the slow process was due to trapping of B at grain boundaries during grain growth. The mechanism of the improved thermal stability of B atoms is discussed on the basis of local strain compensation by Ge atoms..
402. Isao Tsunoda, Tomohiro Nagata, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, Low-temperature solid-phase crystallization of a-Si1-xGex on SiO2 by ion-beam stimulation, Materials Science and Engineering B: Solid-State Materials for Advanced Technology, 10.1016/S0921-5107(01)00770-X, 89, 1-3, 336-340, 2002.02, Effects of Si-Si bond-modulation on solid-phase crystallization of amorphous SiGe on SiO2 have been investigated. The results showed that the annealing temperature required to crystal nucleation significantly decreased to 400 °C by using both Ge doping and ion irradiation (25 keV, 1 × 1016 cm-2. In addition, preferential growth along both (111) and (220) direction was confirmed by using X-ray diffraction (XRD) method. In this way, this bond modulation method will be a powerful tool to fabricate high-quality and low-cost poly-Si thin-film transistors on glass substrates..
403. T. Sadoh, Fitrianto, M. Kunigami, A. Kenjo, A. Miyauchi, H. Inoue, M. Miyao, Thermal stability of B in poly-SiGe on SiON, Materials Science and Engineering B: Solid-State Materials for Advanced Technology, 10.1016/S0921-5107(01)00816-9, 89, 1-3, 129-132, 2002.02, Thermal stability of B atoms in in situ doped poly-SiGe films has been investigated. After annealing at 600-900 °C, the carrier concentration in the films decreased with increasing annealing time, which was due to transition from the super-saturated concentration of B for as-deposited films to the solid solubility at the annealing temperature. Thermal stability of B atoms was significantly improved by Ge doping, e.g. the stability in poly-Si0.6Ge0.4 films was four times as high as that in poly-Si films. The deactivation process of B atoms could be separated into the fast and slow processes. The time constants for both processes did not depend on the Ge fraction, while the ratio of deactivated B atoms in the fast process to those in the slow process decreased by Ge doping. The two-state model has been proposed, and explained the improved thermal stability of B atoms by Ge doping..
404. I. Tsunoda, T. Nagata, A. Kenjo, T. Sadoh, and M. Miyao, Low-temperature solid-phase crystallization of a-Si1-xGex on SiO2 by ion-beam stimulation, Materials Science and Engineering, 10.1016/S0921-5107(01)00770-X, 89, 1-3, 336-340, B89, pp.336-340, 2002.01.
405. T. Sadoh, Fitrianto, M. Kunigami, A. Kenjo, A. Miyauchi, H. Inoue, and M. Miyao, Thermal stability of B in poly-SiGe on SiON, Materials Science and Engineering, 10.1016/S0921-5107(01)00816-9, 89, 1-3, 129-132, 2002.01.
406. M. Miyao, H. Kanno, I. Tsunoda, T. Sadoh, A. Kenjo, Metal-induced low-temperature crystallization of amorphous SiGe on insulating films, Quantum Confined Semiconductor Nanostructures Materials Research Society Symposium - Proceedings, 10.1557/proc-744-m2.8, 744, 55-59, 2002.01, Metal-induced low temperature (≤ 550°C) crystallization of a-Si1-xGex (0 ≤ x ≤ 1) layers on SiO2 films has been investigated. For low Ge fractions below 20%, Ge-doping enhanced plane growth was observed. This realized strain-free poly-Si0.8Ge0.2 films with large grains (18 μm). On the other hand, dendrite growth was dominant for intermediate Ge fractions with 40-60%. Directions and widths of dendrites became straight and narrow with decreasing annealing temperature. As a result, very sharp needlelike crystals (width: 0.05 μm, length: 10 μm) were obtained at the optimized growth conditions (x: 0.4, annealing: 450°C, 20 h). These new polycrystalline SiGe films on insulators should be used for the advanced system-in-displays and novel one-dimensional wires..
407. Isao Tsunoda, Hiroshi Kanno, Atsushi Kenjo, Taizoh Sadoh, Masanobu Miyao, 500°C formation of poly-Si1-xGex (x ≥ 0.5) on SiO2 by ion-beam stimulated solid phase crystallization, Quantum Confined Semiconductor Nanostructures Materials Research Society Symposium - Proceedings, 10.1557/proc-744-m8.22, 744, 501-505, 2002, Ion beam stimulated solid phase crystallization of a-Si1-xGex (0 ≤ x ≤ 1) on SiO2 has been investigated. The critical temperature to cause crystal nucleation can be successfully decreased by 150°C for a-Si1-xGex with all Ge fractions (0 - 100%) by using ion stimulation. As a result, crystal growth below the softening temperature (∼ 500°C) of glass substrates was achieved for samples with Ge fractions exceeding 50%. This method combined with Ge doping and ion stimulation will be a powerful tool to fabricate poly-SiGe TFTs on low cost glass substrates..
408. Y. Murakami, H. Yamauchi, T. Sadoh, A. Kenjo, and M. Miyao, Dose Rate Dependence of Ion-Induced-Damage in Si Evaluated by Spectroscopic Ellipsometry, Solid State Phenomena, 78-79, 341-343, Vol.78-79, pp.341-344, 2001.05.
409. I. Tsunoda, T. Nagata, T. Sadoh, A. Kenjo, and M. Miyao, Influence of Ion Beam Irradiation on Solid-Phase Regrowth of Amorphous Si on SiO2, Solid State Phenomena, 78-79, 345-348, Vol.78-79, pp.345-348, 2001.05.
410. Taizoh Sadoh, Y. Q. Zhang, H. Yasunaga, A. Kenjo, T. Tsurushima, M. Miyao, High-performance MOS tunneling cathode with CoSi2 gate electrode, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 40, 4 B, 2775-2778, 2001.04, The high performance of metal-oxide-semiconductor (MOS) tunneling cathodes with CoSi2 gates was demonstrated. First, the deposition process of CoSi2 was optimized. Stoichiometric CoSi2 films were formed by codeposition with Co and Si. The electrical measurement suggested that deposition above 300°C was necessary to obtain low-resistivity silicide films. Second, operation characteristics were evaluated for MOS tunneling cathodes with CoSi2 gates formed at 400°C. The emission efficiency increased with decreasing gate thickness and became as high as 1.5 × 10-3 for the 5 nm CoSi2 cathode. The efficiency did not depend on the electric field above 8.5 MV cm-1. Thus, the CoSi2 gates were deemed suitable for operation at higher electric fields to obtain larger emission currents. The lifetime of the cathodes corresponded to 500 h for operation at 8.5 MV cm-1..
411. Masanobu Miyao, Isao Tsunoda, Taizoh Sadoh, Atsushi Kenjo, Ion-beam stimulated solid-phase crystallization of amorphous Si on SiO2, Thin Solid Films, 10.1016/S0040-6090(00)01634-5, 383, 1-2, 104-106, 2001.02, Influences of ion-beam irradiation on solid-phase-crystallization of a-Si on SiO2 were studied in the temperature range between 200 and 700 °C. Significant enhancement of crystal nucleation was observed under ion irradiation (25 keV, 1×1016 Ar+ cm-2). As a result, nucleation at a temperature lower than that of the softening of soda-lime glass (450 °C) becomes possible. In addition, nuclei growth along the [111] and [110] directions was detected using X-ray diffraction methods. These are a big advantage for the fabrication of high-quality and low-cost thin-film transistors on glass substrates..
412. M. Miyao, I. Tsunoda, T. Sadoh, and A. Kenjo, Ion-beam Stimulated Solid-phase Crystallization of Amorphous Si on SiO2, Thin Solid Films, 10.1016/S0040-6090(00)01634-5, 383, 1-2, 104-106, 383, pp104-106, 2001.01.
413. Y. Murakami, H. Yamauchi, T. Sadoh, A. Kenjo, M. Miyao, Dose rate dependence of ion-induced-damage in Si evaluated by spectroscopic ellipsometry, 6th International Workshop on Beam Injection assesment of Microstructures in Semiconductors (BIAMS 2000) Solid State Phenomena, 10.4028/www.scientific.net/ssp.78-79.341, 78-79, 341-344, 2001, Ion-induced-damage in Si formed by Ar+ at 25 keV was investigated. Ion irradiation was performed at 25-250°C to various doses (2×1013-5×1015 cm-2) with dose rates (3×1011-6×1012 cm-2s-1). The amorphicity of irradiated surface layers was evaluated by using spectroscopic ellipsometry. For samples irradiated at temperatures above 50°C, dose rate dependence of amorphicity was observed. The Arrhenius plot of the defect relaxation rate showed that the activation energy for the defect relaxation was 0.4 eV. The value suggested that the defect relaxation was limited by the migration of the neutral vacancy..
414. I. Tsunoda, T. Nagata, Taizoh Sadoh, A. Kenjo, M. Miyao, Influence of ion beam irradiation on solid-phase regrowth of amorphous Si on SiO2, Solid State Phenomena, 78-79, 345-348, 2001, We have investigated the influence of Ar+ ion beam irradiation on the solid-phase crystallization (SPC) of amorphous Si on SiO2. The results indicated that the annealing temperature required to begin the SPC drastically decreased by the utilization of Ar+ irradiation, i.e., 400°C for the samples with irradiation and 700°C for the samples without irradiation. In addition, both (111) and (220) Si peaks were observed in the XRD spectra for the samples annealed with Ar+ irradiation. In this way, new method of SPC at low temperature has been established..
415. S. Matsuo, M. Yamamoto, T. Sadoh, T. Tsurushima, D. W. Gao, K. Furukawa, H. Nakashima, Effects of ion irradiation on silicon oxidation in electron cyclotron resonance argon and oxygen mixed plasma, Journal of Applied Physics, 10.1063/1.373868, 88, 3, 1664-1669, 2000.08, Effects of ion irradiation on oxidation of silicon at a temperature as low as 130 °C in an argon and oxygen mixed plasma excited by electron cyclotron resonance interaction have been investigated. The growth rate of the oxide films increases with increasing incident energy and flux of argon ions, and the thickness increases proportionally to the root square of the oxidation time, which suggests that the growth rate is limited by diffusion of oxidants enhanced by irradiation with argon ions. Effects of substrate bias on the oxidation characteristics have been also investigated. The growth rate increases with increasing positive bias, and the growth kinetics deviate from diffusion limited with increasing thickness. The bias dependence of the growth rate is caused by drift of negative oxidants enhanced by the electric field established in the oxide films. Moreover, it is shown that the electrical properties of the oxide films are improved by applying positive substrate bias. The improvement is due to a reduction of irradiation-damage in the initial oxidation stage. On the basis of the experimental results, it is concluded that the reduction of the incident energy and the flux of argon ions in the initial oxidation stage is essential to improve electrical properties of the oxide films..
416. Masanobu Miyao, Isao Tsunoda, Taizoh Sadoh, Atsushi Kenjo, Ion Irradiation Stimulated Crystal Nucleation in Amorphous Si on SiO_2, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2000, 442-443, 2000.08.
417. S. Matsuo, M. Yamamoto, T. Sadoh, T. Tsurushima, D. W. Gao, K. Furukawa, H. Nakashima, ECR plasma oxidation
Dependence on energy of argon ion, Fundamental Mechanisms of Low-Energy-Beam-Modified Surface Growth and Processing Materials Research Society Symposium - Proceedings, 585, 171-176, 2000, Effects of ion-irradiation on oxidation of silicon at low temperatures (130 °C) in an argon and oxygen mixed plasma excited by electron cyclotron resonance (ECR) interaction are investigated. First, dependence of energy and flux of incident ions on the flow rate and the microwave power is evaluated. It is shown that the flow rate and the microwave power are key parameters for controlling the energy and the flux of incident ions, respectively. Second, growth kinetics of the oxide films are studied. The growth rate depends on the energy and the flux of argon ions irradiated to the substrate, and the growth thickness increases proportionally to the root square of the oxidation time. Thus, the growth rate is limited by diffusion of oxidants enhanced by irradiation with argon ions. The effect of substrate bias on oxidation characteristics is also discussed. The electrical properties of the oxide films are improved by increasing the bias. The improvement is due to the reduction of damage at the surface of the substrate induced by the irradiation..
418. A. Matsushita, Taizoh Sadoh, T. Tsurushima, Narrow CoSi2 line formation on SiO2 by focused ion beam, Proceedings of the 1998 International Conference on 'Ion Implantation Technology' Proceedings (IIT'98) Proceedings of the International Conference on Ion Implantation Technology, 861-864, 1999.12, We propose a technique for formation of CoSi2 line structures on SiO2 films, which utilizes irradiation with 40 keV Si2+ FIB to the Co (14 nm)/Si (50 nm)/SiO2 (20 nm) stacked layer structures. Ion irradiation was performed at room temperature (RT) in order to prevent the thermal formation of cobalt silicide in regions without irradiation. After ion irradiation, unreacted Co layers remaining on the surfaces were removed by dipping the samples into the solution of HNO3:H2O2 = 1:3, and unreacted Si layers were removed by H3PO4 at 160 °C. The hot H3PO4 removes the deposited Si without etching the irradiated regions or SiO2 films. After the etching, the samples were heat treated at 700 and 900 °C for 20 min. As a result, cobalt silicide line structures were formed. The resistivity evaluated for samples heat treated at 700 and 900 °C was in agreement with that of CoSi and CoSi2, respectively. CoSi2 line structures with less than 200 nm width can be made by the procedure..
419. D. J. Bai, Y. Q. Zhang, A. Matsushita, A. Baba, A. Kenjo, Taizoh Sadoh, Hiroshi Nakashima, H. Mori, T. Tsurushima, Silicon fine structure formation on sapphire with Focused Ion Beam, Proceedings of the 1998 International Conference on 'Ion Implantation Technology' Proceedings (IIT'98) Proceedings of the International Conference on Ion Implantation Technology, 1101-1104, 1999.12, A Tetra-Methyl-Ammonium-Hydroxide (TMAH) aqueous solution etches crystalline silicon without removing the damaged silicon and sapphire. Crystalline silicon films with 600 nm thickness on sapphire were irradiated with Si
2+
Focused-Ion-Beams (FIB), and amorphous fine patterns were formed on the surfaces of the silicon films. Crystalline silicon regions were selectively etched off with the TMAH solution without removing the amorphous patterns acting as the etching mask, and silicon fine structures with the maximum feature size of 800 nm were formed. The feature size depends on the film thickness and can be optimized by the FIB irradiation and etching conditions. The technique is expected to be utilized in the nano-electronic device processing..
420. Atsushi Matsushita, Yi Qun Zhang, Taizoh Sadoh, Toshio Tsurushima, Characterization of CoSi2 gate MOS structure formed by ion irradiation, Research Reports on Information Science and Electrical Engineering of Kyushu University, 4, 1, 47-51, 1999.03, CoSi2 gate MOS structures were formed by 20, 30, and 40 keV Si2+ Focused Ion Beam (FIB) irradiation to the 14/50 and 21/75 mn Co/Si layers on 20 nm SiO2 films, and electrical properties of the structures were investigated. The results of the C-V measurement show that the flat-band shift increases with increasing the irradiation damage in SiO2 films. The leak current was also investigated by the I-V measurement, and it is concluded that the leak current was caused by the irradiation damage in SiO2 films and the Si-rich layers near the silicide/SiO2 interface formed by insufficient mixing of Co and Si atoms. In order to optimize the fabrication process of the CoSi2 gate MOS structures by the irradiation, the irradiation damage induced in SiO2 films should be minimized, and the sufficient energy should be deposited in Co/Si layers to induce the mixing of Co and Si atoms. For the 21/75 nm Co/Si sample irradiated with 40 keV Si2+ to 5×1015 cm-2, the Fowler-Nordheim tunneling current was observed, and flat-band shift was 1.6 V..
421. Yi Qun Zhang, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Toshio Tsurushima, Fabrication and characteristics evaluation of CoSi 2-gate MOS electron tunneling emission cathode, Research Reports on Information Science and Electrical Engineering of Kyushu University, 4, 1, 43-46, 1999.03, MOS electron tunneling emission cathodes have been fabricated with CoSi 2-SiO 2-Si structures, and the emission characteristics were evaluated. The 10-20 nm CoSi 2 layers were formed on 10 nm SiO 2 films by the molecular beam deposition (MBD). The electron emission occurred from the gate area by electron tunneling through the potential barrier in the MOS diode. The transfer ratio, i.e., the ratio of the emission current to the total current flowing through the MOS diode, was 1.4×10 -4. The emission was independent of pressure in the range of 1×10 -4-6×10 -6 Torr and stable over a long period of 100 min..
422. Yi Qun Zhang, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Toshio Tsurushima, Formation of CoSi2 gate electrode for MOS electron tunneling emitters, Research Reports on Information Science and Electrical Engineering of Kyushu University, 4, 1, 99-102, 1999.03, We have evaluated the characteristics of 10 nm gate oxide films of MOS devices with CoSi2 gate electrodes. The CoSi2 layers were grown with the molecular beam deposition (MBD). Co and Si were simultaneously deposited with a beam intensity ratio of Co:Si = 1:2 at a temperature between room temperature and 400 °C. The capacitance-voltage measurement showed that the charge density in the oxide were 0.53×1011 to approximately 1.36×1011 cm-2. From the breakdown voltage histogram, it has been shown that the breakdown of the MOS samples with CoSi2-gate formed by 400 °C deposition occurred mainly above 8 MV cm-1. The results suggests that the electrical properties of the oxide films improve with increasing the deposition temperature of the CoSi2 layers between room temperature and 400 °C, owing to the decrease of the stress in the oxide films. The CoSi2 gate electrodes on the thin oxide films do not deteriorate the MOS properties..
423. Atsushi Matsushita, Taizoh Sadoh, Toshio Tsurushima, Resistance Increase in CoSi_2 Layer by Irradiation Induced Damage, Research Reports on Information Science and Electrical Engineering of Kyushu University, 10.15017/1500393, 4, 1, 53-56, 1999.03, Damage induced by ion irradiation in CoSi_2 layers on SiO_2 films has been investigated. CoSi_2 layers with 25 nm thickness were irradiation with 25 keV Ar^+ ions to a dose of 2×10^ cm^ with various dose rate. Pulsed irradiation with various duty ratios was also employed. After the irradiation, the change in sheet resistance of the layers was evaluated. The increase in the resistance increased with increasing the dose rate for samples irradiated with dose rates above the critical value of 7.5×10^ cm^ s^ at room temperature. The increase has been discussed on the basis of our proposed model and attributed to the overlapping of cascade zones induced by irradiation with dose rates above the critical value. The result of the pulsed irradiation showed that the incremental sheet resistance decreases with increasing the irradiation temperature, and the relaxation time was estimated at shorter than 200 μs at room temperature. Higher irradiation temperature and lower dose rate than the critical value result in the lower resistivity CoSi_2 layers..
424. Atsushi Matsushita, Taizoh Sadoh, Toshio Tsurushima, Resistance increase in CoSi2 layer by irradiation induced damage, Research Reports on Information Science and Electrical Engineering of Kyushu University, 4, 1, 53-56, 1999.03, Damage induced by ion irradiation in CoSi2 layers on SiO2 films has been investigated. CoSi2 layers with 25 nm thickness were irradiation with 25 keV Ar+ ions to a dose of 2×1014 cm-2 with various dose rate. Pulsed irradiation with various duty ratios was also employed. After the irradiation, the change in sheet resistance of the layers was evaluated. The increase in the resistance increased with increasing the dose rate for samples irradiated with dose rates above the critical value of 7.5×1011 cm-2 s-1 at room temperature. The increase has been discussed on the basis of our proposed model and attributed to the overlapping of cascade zones induced by irradiation with dose rates above the critical value. The result of the pulsed irradiation showed that the incremental sheet resistance decreases with increasing the irradiation temperature, and the relaxation time was estimated at shorter than 200 μs at room temperature. Higher irradiation temperature and lower dose rate than the critical value result in the lower resistivity CoSi2 layers..
425. T. Sumita, H. Otsuka, H. Kubota, M. Nagata, Y. Honda, R. Miyagawa, T. Tsurushima, Taizoh Sadoh, Ion-beam modification of TiO2 film to multilayered photocatalyst, Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms, 10.1016/S0168-583X(98)00809-X, 148, 1-4, 758-761, 1999.01, We report a dry process to produce a multilayered TiO2 film which has the rutile phase on an anatase substrate, for highly activated photocatalysis. Ar ion beam irradiation changes the anatase surface into rutile at 500°C, which is less than the crystallization temperature of rutile from anatase (600°C). The ion beam modification makes it possible to form rutile thin film on anatase. The multilayered structure should be a promising photocatalyst, theoretically..
426. Atsushi Matsushita, Taizoh Sadoh, Toshio Tsurushima, Thin CoSi2 formation on SiO2 with low-energy ion irradiation, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 10.1143/jjap.37.6117, 37, 11, 6117-6122, 1998.11, Cobalt suicides were formed by heat treatment and ion irradiation for stacked 7 nm Co and 25 nm Si layers on 20 nm SiO2 films. Irradiation was performed with 25keV Ar+ ions to a dose of 5×1015cm-2 at sample temperatures between room temperature and 700°C. The phase, atomic concentration profiles, and sheet resistance of the suicide layers were investigated as a function of the processing temperature. X-ray diffraction measurement showed that the phase of CoSi2 was formed by irradiation at temperatures above 300°C, and X-ray photoelectron spectroscopy measurement revealed uniform distributions of Co and Si atoms with the atomic ratio of Co : Si = 1 : 2 for samples irradiated at temperatures above 200°C. Sheet resistance measurement showed that almost complete di-silicidation occurred by irradiation at 700°C. It is concluded that the energy deposited by ions contributes to the migration of the species for silicidation at a lower temperature, and Co/Si mixed layers with an atomic ratio of 1 : 2 are easily obtained by irradiation of the stacked thin films with low-energy ions. Since the suicide regions formed in the deposited thin films were decomposed during the irradiation at temperatures below 700°C, thermal annealing at 700°C is necessary to obtain completely uniform CoSi2 layers after the irradiation..
427. Atsushi Matsushita, Taizoh Sadoh, Toshio Tsurushima, Thin CoSi_2 Formation on SiO_2 with Low-Energy Ion Irradiation, Japanese Journal of Applied Physics, Part 2: Letters, 37, 11, 6117-6122, 1998.11.
428. Yi Qun Zhang, Atsushi Matsushita, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Noboru Teshima, Hiroshi Mori, Toshio Tsurushima, Fabrication and characterization of CoSi2 gate MOS tunnel structure, Research Reports on Information Science and Electrical Engineering of Kyushu University, 3, 2, 257-260, 1998.09, Fabrication processes and electrical characteristics of CoSi2 gate MOS tunnel structures haye been studied. Thin CoSi2 layers were grown on thin SiU2 films by molecular beam deposition (MBD). Co and Si were simultaneously deposited with a deposition ratio of Co : Si = 1 : 2. The structural and electrical properties of the CoSi2 layers were investigated by X-ray diffraction and Van der Pauw methods. The CoSi2 phase was observed for samples deposited at a temperature between room temperature and 400°C. The resistivity of the layers deposited at 400°C was close to the value of CoSi2 and did not depend on the thickness of the layers between 140 and 440Å. I-V characteristics for the CoSi2-SiO2-Si MOS structures were also investigated. For samples deposited under 200°C, the leak current was very larger, and Fowler-Nordheim tunnel current was not observed. On the other hand, for samples deposited above 300°C, Fowler-Nordheim tunnel current was observed. The values of the barrier height for the tunneling were estimated as 2.8 eV and 3.0 eV for samples deposited at 300°C and 400°C, respectively..
429. Yi Qun Zhang, Akira Kikutake, Shuichi Wada, Takashi Nakashige, Dong Ju Bai, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Noboru Teshima, Hiroshi Mori, Toshio Tsurushima, Annealing effects on transition region at Si-SiO2 interface, Research Reports on Information Science and Electrical Engineering of Kyushu University, 3, 1, 111-116, 1998.03, Annealing effects on transition regions at the Si-SiO2 interface for thin oxide films have been investigated. Thin oxide films with thickness less than 100Å were prepared by the following methods: (1) dry oxidation, (2) dry oxidation and subsequent annealing in an argon atmosphere, and (3) thinning of thick oxide films formed by dry oxidation. The values of barrier height for electrons at the Si-SiO2 interface have been evaluated from Fowler-Nordheim plots. The values for the samples prepared by (2) and (3) were larger than that by (1). The increase of the barrier height is attributed to the decrease of transition regions induced by annealing or oxidation for long periods. XPS and growth rate measurements were also performed for ultra thin dioxide films formed at low temperature of 600 °C. The results show that the transition regions, containing suboxides, are formed in the initial stage of oxidation. On the basis of these observations, a model of the decrease of transition regions is proposed..
430. A. Baba, H. Aramaki, T. Sadoh, T. Tsurushima, Growth kinetics of CoSi formed by ion beam irradiation at room temperature, Journal of Applied Physics, 10.1063/1.366460, 82, 11, 5480-5483, 1997.12, Growth kinetics of cobalt suicide layers formed by ion beam irradiation was investigated at a temperature between room temperature and 100 °C. The CoSi phase was identified by x-ray diffraction of Co/Si samples irradiated with 25 keV argon ions to a dose of 2.0×1015 cm-2. The number of intermixed silicon atoms in the CoSi layers was evaluated as a function of dose, dose rate, and nuclear energy deposition rate at the Co/Si interface for samples irradiated with 40 keV focused silicon ion beams. The growth is shown to be diffusion-limited and attributed to radiation-enhanced diffusion with an activation energy of 0.16 eV. The number of intermixed silicon atoms is approximately proportional to the nuclear energy deposition rate at the initial Co/Si interface, while it is independent of dose rate, which shows that the CoSi phase is formed without contribution of the sample heating caused by irradiation..
431. T. Sadoh, A. Matsushita, Y. Q. Zhang, D. J. Bai, A. Baba, A. Kenjo, T. Tsurushima, H. Mori, H. Nakashima, Deep states in silicon on sapphire by transient-current spectroscopy, Journal of Applied Physics, 10.1063/1.366394, 82, 10, 5262-5264, 1997.11, It is demonstrated that deep states in silicon on sapphire (SOS) films can be evaluated by transient-current spectroscopy (TCS). In the TCS spectra, a broad peak extending over 100-200 K was observed for the 6000-Å-thick n-type SOS film. Assuming the value of capture cross section to be 10-15 cm2 and independent of temperature, the density distribution of deep states was estimated. The density distribution shows a peak of 1.2×1012 cm-2 eV-1 at EC-0.25 eV. Raman backscattering spectroscopy was also performed to evaluate the stress in the silicon film. It was concluded that the defects detected by TCS should be caused by the compressive stress of 6.2 × 108 Pa in the silicon film..
432. T. Sadoh, K. Tsukamoto, A. Baba, D. Bai, A. Kenjo, T. Tsurushima, H. Mori, H. Nakashima, Deep level of iron-hydrogen complex in silicon, Journal of Applied Physics, 10.1063/1.365746, 82, 8, 3828-3831, 1997.10, Deep levels related to iron in n-type silicon have been investigated using thermally stimulated capacitance (TSCAP) combined with minority carrier injection. The TSCAP measurement reveals two traps of EV + 0.31 and EV+0.41 eV. The trap of EV+0.41 eV is a donor due to interstitial iron. The trap of EV+0.31 eV, due to a complex of interstitial iron and hydrogen, is observed in the sample etched chemically with an acid mixture containing HF and HNO3 and annihilates after annealing at 175°C for 30 min. It is demonstrated that interstitial 3d transition metals such as vanadium, chromium, and iron tend to form complexes with hydrogen in n-type silicon, and the complexes induce donor levels below the donor levels of the isolated interstitial species. This trend is related to the interaction between the metals and hydrogen in the complexes..
433. Dong Ju Bai, Akiyoshi Baba, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Hiroshi Mori, Toshio Tsurushima, Effect of energy transport with recoil atoms on deposited energy distribution in silicon irradiated with energetic ions, Research Reports on Information Science and Electrical Engineering of Kyushu University, 2, 2, 219-223, 1997.09, Contribution of recoil atoms to the spatial distribution of total energy deposited by ions impinging in silicon is evaluated for 10-250 keV B and Ar ions. The calculated results are compared with the damaged layer thickness obtained by the ion-bombardment-enhanced selective etching, and the effect of energy transport with recoil atoms (recoil atom effect) on deposited energy distributions is discussed..
434. Dong Ju Bai, Akiyoshi Baba, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Hiroshi Mori, Toshio Tsurushima, Tapered-surface etching of GaAs utilizing low-energy ion bombardment effect, Research Reports on Information Science and Electrical Engineering of Kyushu University, 2, 2, 225-228, 1997.09, A technique for fabricating tapered-surface structures on GaAs crystals is demonstrated. GaAs crystals are bombarded with low-energy (5 keV) Ar + ions, and the partially masked surfaces are etched with an aqueous solution of FeCl 3-HCl. Effect of the ion bombardmentenhanced etching is utilized to proceed a high-rate lateral etching under the mask, and to reveal a surface with a taper angle of 10-45°, depending on the ion dose..
435. Dong Ju Bai, Tomohiro Kawase, Akiyoshi Baba, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Hiroshi Mori, Toshio Tsurushima, Amorphization induced by low-energy ion irradiation in silicon, Research Reports on Information Science and Electrical Engineering of Kyushu University, 2, 1, 163-166, 1997.03, Amorphization of silicon crystals irradiated with low-energy (10-25 keV) Ar+ beams at temperature in the range of 30-600°C has been studied. Thickness of the damaged layer was estimated by the ion-bombardment-enhanced selective etching (IBESE) technique. Critical dose for the amorphization was estimated to be ∼ 5 × 1013 ions/cm2 for irradiation of 10 and 20 keV Ar+ at 30°C. Comparison between the damaged layer thickness and results of the TRIM simulation shows that the etching stops at the depth where density of the deposited energy is a constant value. For irradiation temperature of 380°C and 400°C, no amorphized layer was observed after irradiation with 20 keV Ar+ to dose of 5 × 1014 and 2 × 1015 ions/cm2, respectively. The damaged layers disappeared after annealing at temperature above 400°C, and activation energy for the recovery was estimated to be 0.33 eV. From the value of the activation energy, we speculate that the recovery process of radiation-induced defects should be controlled by migration of vacancies..
436. Dong Ju Bai, Tomohiro Kawase, Akiyoshi Baba, Atsushi Kenjo, Taizoh Sadoh, Hiroshi Nakashima, Hiroshi Mori, Toshio Tsurushima, Simplified evaluation of displacement effect distribution in silicon irradiated with low-energy ions, Research Reports on Information Science and Electrical Engineering of Kyushu University, 2, 1, 59-64, 1997.03, Displacement effects induced by low-energy ion irradiation in silicon have been investigated theoretically. Instantaneous energy of an incident ion during its slowing-down process has been obtained as a function of the penetration depth and the ordinal number of displacement collisions by solving a set of integral equations. From these results, the averaged penetration depth as a function of the ordinal number of displacement collisions is estimated. The mean free path of the incident ion at a specific depth in silicon is also estimated for several initial energy values and ion species. The energy transfer rate into atomic displacement collisions and the density of deposited energy in a collision cascade have been evaluated considering the primary knock-on process. The damaged layer thickness obtained by the experiment of the ion-bombardment-enhanced selective etching of silicon crystals shows a good agreement with the depth where the estimated density of deposited energy takes a constant value..
437. A. Baba, D. Bai, Taizoh Sadoh, A. Kenjo, Hiroshi Nakashima, H. Mori, T. Tsurushima, Behavior of radiation-induced defects and amorphization in silicon crystal, Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms, 10.1016/S0168-583X(96)00392-8, 121, 1-4, 299-301, 1997.01, We have investigated the dose rate dependence of the lateral amorphization of silicon crystals irradiated with 40 keV Si2+ focused ion beams (FIB) as a function of sample temperature. The recovery time of point defects, τ, and the extent of their distribution, d, around the collision cascades produced by impinging ions were evaluated. The amorphous line-width was measured with scanning electron microscopy (SEM) after a selective etching. We have obtained a critical dose rate 1/(τd2) of 1.0 × 1015 cm-2s-1 for radiation at 100°C. The temperature dependence of the critical dose rate suggests that the lateral amorphization is controlled by a simple kinetics of the defects with an activation energy of 0.85 eV. From the value of the activation energy, we speculate that the recovery process of radiation-induced defects is controlled by the migration of interstitial Si atoms..
438. Keiichi Tsukamoto, Satoru Iwasaki, Taizoh Sadoh, Yukinori Kuroki, Electrical passivation of B-doped si through thin films used in VLSI fabrication, Thin Solid Films, 10.1016/S0040-6090(96)08538-0, 286, 1-2, 299-304, 1996.09, Atomic hydrogen diffusion through the thin films used in VLSI fabrication is investigated by measuring the electrically passivated boron (B) profile in silicon (Si) substrate under the films. After hydrogen plasma treatment, carrier concentration profiles in Si are measured using a spreading resistance profiler, and translated to the electrically passivated B profiles. The CVD oxide gave rather small hydrogen diffusivity in comparison with thermal oxide. Effective hydrogen diffusivities in Si under thermal oxides were evaluated at 150, 200, and 250 °C. The effective hydrogen diffusivity in 0.01 Ω cm B-doped Si was determined as 1.2 × 10-12 cm2 s-1 at 200 °C. The difference in the hydrogen behaviour between the thermal oxide and the CVD oxide is analyzed numerically by a trap-included diffusion model, by fitting it to the passivated B profile in Si. Hydrogen trap densities in the thermal oxide and the CVD oxide were estimated to be NT ≤ 1.0 × 1017 and NT ≈ 1.0 × 1019 cm-3, respectively. The diffusion through CVD oxide was slow because of the high density traps in the film. CVD oxide is more effective than thermal oxide as a retardation film against atomic hydrogen penetration into the VLSI devices in the dry cleaning process using hydrogen plasma. The passivations of B in Si under Si3N4, aluminum, and poly Si films were not observed. These films might be much more effective than CVD oxide films in the protection of devices in the short term, typically 3 h, during hydrogen surface cleaning in VLSI processes..
439. Keiichi Tsukamoto, Taizoh Sadoh, Akihiro[et al] Ikeda, The Evaluation of Temporary Degradation in Quarter Micron MOSFET by Hydrogen Passivation of Boron, Research Reports on Information Science and Electrical Engineering of Kyushu University, 10.15017/1474936, 1, 39-44, 1996.09, This paper evaluates the outermost case of temporary MOSFET's characteristics degradation by hydrogen passivation of B (Boron) in low temperature process. A 1 μm p-MOSFET showed slight increase of drain resistance and about 40 3 reduction of sheet resistance, experimentally. The simulation of 0.25 μm MOSFET's shows the channel length increase of more than 20 3, drain resistance increase about 40 3, as the results of 90 3 passivation of dopant in lightly doped source and drain (LDD). To reduce the hydrogen passivation effects, the shortest LDD structure or heavily doped drain are required, and lower substrate impurity concentration must be chosen as far as possible to suppress the variation of threshold voltage. For the deep-submicron channel devices, however, it's difficult to make the sufficiently low resistive contact resistance..
440. Keiichi Tsukamoto, Taizoh Sadoh, Akihiro Ikeda, Yukinori Kuroki, The evaluation of temporary degradation in quarter micron MOSFET by hydrogen passivation of Boron, Research Reports on Information Science and Electrical Engineering of Kyushu University, 1, 1, 39-44, 1996.09, This paper evaluates the outermost case of temporary MOSFET's characteristics degradation by hydrogen passivation of B (Boron) in low temperature process. A 1 μm p-MOSFET showed slight increase of drain resistance and about 40 % reduction of sheet resistance, experimentally. The simulation of 0.25 μm MOSFET's shows the channel length increase of more than 20 %, drain resistance increase about 40 %, as the results of 90 % passivation of dopant in lightly doped source and drain (LDD). To reduce the hydrogen passivation effects, the shortest LDD structure or heavily doped drain are required, and lower substrate impurity concentration must be chosen as far as possible to suppress the variation of threshold voltage. For the deep-submicron channel devices, however, it's difficult to make the sufficiently low resistive contact resistance..
441. H. Nakashima, T. Sadoh, T. Tsurushima, Metastable-defect behaviors of iron-boron Pairs in silicon using recombination-enhanced defect reaction, Defect and Diffusion Forum, 10.4028/www.scientific.net/ddf.136-137.41, 136-137, 41-60, 1996, When iron diffuses into p-type silicon doped with boron, positively charged interstitial iron is captured by negatively charged substitutional boron, thus forming a stable pair between iron at the first nearest-neighbor site and boron. If minority-carrier injection is carried out at low temperature, iron absorbs the recombination energy and migrates from the first nearest site to another site. Thus, a structurally metastable pair is created, which cannot be established under the thermal equilibrium condition. We report the determination of impurity states and migration behaviors of iron in the vicinity of boron using transient capacitance techniques..
442. Akiyoshi Baba, Taizoh Sadoh, Atsushi[et al] Kenjo, Evaluation of Damage Induced by Low-Energy Ion Irradiation in Silicon, Memoirs of the Faculty of Engineering, Kyushu University, 55, 2, 127-138, 1995.06.
443. H. Nakashima, T. Sadoh, T. Tsurushima, Recombination-enhanced migration of interstitial iron in silicon, Proceedings of the 1995 18th International Conference on Defects in Semiconductors, ICDS-18. Part 1 (of 4) Materials Science Forum, 10.4028/www.scientific.net/msf.196-201.1351, 196-201, pt 3, 1351-1356, 1995, Recombination-enhanced migration of interstitial iron (Fei) in the vicinity of substitutional boron (Bs) has been investigated by using space charge technique combined with minority-carrier injection below 200 K. Four electron-traps and a hole-trap are observed as the structurally metastable Fei-Bs pairs after the injection. The creation and annihilation behaviors of these pairs by the injection are shown and discussed on the basis of the theory of the recombination-enhanced defect reaction..
444. Taizoh Sadoh, Hironori Takeshita, Akiyoshi Baba, Atsushi Kenjo, Hiroshi Nakashima, Toshio Tsurusiiima, Behavior of defects induced by low-energy ions in silicon films, Japanese Journal of Applied Physics, 10.1143/JJAP.33.7151, 33, 12S, 715, 1994.12, Behavior of defects induced by low-energy (5 keV at maximum) argon ions or protons in 600 nm thin silicon crystals has been investigated. A significant amount of defects diffuse from the damaged surface layers to the deeper regions even at room temperature, and act as the carrier traps and the scattering center that affect the electrical properties of the films. Most of the defects disappaer after annealing at 300°C. Electrical and thermal properties of the defects depend on the creation rate of Frenkel pairs..
445. Hiroshi Nakashima, Taizoh Sadoh, H. Kitagawa, K. Hashimoto, Diffusion and electrical properties of 3d transition-metal impurity series in silicon, Proceedings of the 17th International Conference on Defects in Semiconductors. Part 1 (of 3) Materials Science Forum, 143-4, pt 2, 761-766, 1994.12, Diffusion and electrical properties from Ti to Ni in Si have been comprehensively investigated by deep level transient spectroscopy (DLTS) and the Hall effect. Electrically active components from Ti to Fe are assigned to be interstitial species, and DLTS results reveal double donors and an acceptor except for Cr and Fe which exhibit only one donor state. For Cr, Mn, and Fe, pairs of the donors with B, acting as donors, are also detected by DLTS. Diffusivities D of these elements are determined in the wide temperature range from room temperature to high temperature. The results show a clear trend that D increases with increasing atomic numbers. By contrast, electrically active components of Co and Ni are assigned to be substitutional species. These active components, only a small fraction of total Co or Ni, have an amphoteric nature in n- and p-type Si. We present the chemical trends of the diffusion and electrical properties with a comparative manner..
446. Hiroshi Nakashima, Taizoh Sadoh, T. Tsurushima, Electrical and thermal properties of structurally metastable iron-boron pairs in silicon, Physical Review B, 10.1103/PhysRevB.49.16983, 49, 24, 16983-16993, 1994.01, Structurally metastable iron-boron pairs in silicon have been detected using dark- or photocapacitance transient techniques combined with minority-carrier injection below 200 K. Five levels at EC-0.43, 0.46, 0.52, and 0.54 eV and EV+0.53 eV are observed as the metastable defects after the injection. The creation and annihilation behaviors of these defects by the injection are investigated in detail and discussed on the basis of the theory of recombination-enhanced defect reaction. The transmutations for respective defects are confirmed by isochronal anneals and the reaction kinetics are studied by isothermal anneals. These kinetic studies lead to a model for pair configurations responsible for these defect levels. The configuration-coordinate (CC) description for these metastable pairs is shown to account for all electrical and thermal properties. The CC model shows us why the metastability for the iron-boron pair cannot be observed in thermal equilibrium..
447. T. Sadoh, M. Watanabe, H. Nakashima, T. Tsurushima, Deep levels of chromium-hydrogen complexes in silicon, Journal of Applied Physics, 10.1063/1.356018, 75, 8, 3978-3981, 1994, Deep levels related to chromium in n-type silicon have been investigated using deep level transient spectroscopy (DLTS) and concentration profile measurements. The DLTS measurement reveals four electron traps of E C-0.22, EC-0.28, EC-0.45, and E C-0.54 eV in chromium-doped samples. The trap of EC-0.22 eV is a donor due to interstitial chromium. The other three traps are observed near the surface region of samples etched with an acid mixture containing HF and HNO3 and annihilate after annealing at 175°C for 30 min. The origin of these traps has been studied by isochronal annealing and various chemical treatments. It is demonstrated that the three electron traps are due to complexes of interstitial chromium and hydrogen..
448. T. Sadoh, M. Watanabe, H. Nakashima, T. Tsurushima, Deep levels of vanadium- and chromium-hydrogen complexes in silicon, Proceedings of the 17th International Conference on Defects in Semiconductors. Part 1 (of 3) Materials Science Forum, 143-4, pt 2, 939-944, 1994, Deep levels related to vanadium and chromium in n-type silicon have been studied using deep level transient spectroscopy (DLTS) and concentration profile measurements. In addition to traps due to interstitial species, an electron trap of EC-0.49 eV is observed in vanadium-doped n-type silicon, and three electron traps of EC-0.28, EC-0.45, and EC-0.54 eV are observed in chromium-doped n-type silicon by DLTS. These traps are observed only in the surface region of samples etched chemically with a mixture containing HF and HNO3 and annihilate after annealing at around 200 °C for 30 min. These traps are investigated by annealing and various chemical treatments. It is demonstrated that the traps are due to complexes ofhydrogen and interstitial vanadium or interstitial chromium..
449. Hiroshi Nakashima, Taizoh Sadoh, T. Tsurushima, Metastable-defect behaviors of iron-boron pairs in silicon, Unknown Journal, 143-4, pt 2, 1191-1196, 1994, Structurally metastable Fei-Bs pairs in silicon have been detected using dark or photo capacitance-transient technique combined with minority-carrier injection below 200 K. Five levels at EC-0.43 eV, 0.46 eV, 0.52 eV, and 0.54 eV and EV+0.53 eV are observed as the metastable defects after the injection. These defects annihilate by annealing at 250 K for a few minutes. The transmutations for respective defects are confirmed by isochronal anneals, and the reaction kinetics are studied by isothermal anneals. From these investigations, the pair configurations responsible for these new defect levels are proposed..
450. H. Nakashima, T. Sadoh, T. Tsurushima, Hole traps of metastable iron-boron pairs in silicon, Journal of Applied Physics, 10.1063/1.353056, 73, 6, 2803-2808, 1993.12, Hole traps of configurationally metastable iron-boron pairs in p-type silicon are studied using deep level transient spectroscopy, thermally stimulated capacitance, and single shot techniques combined with minority-carrier injection. Two levels at EV+0.53 and 0.48 eV are observed as the metastable pairs after the injection at 150 K. The level at 0.53 eV consists of two traps vanishing with different decay rates at around 220 K, while the level at 0.48 eV annihilates with simple exponential form. The decay rate for each trap has a thermal activation energy of ∼0.7 eV and shows a single jump process of interstitial iron from one configuration to another. These new traps of iron-born pairs are discussed with the aid of configuration-coordinate description within the framework of the simple ionic model..
451. Kaoru Toko, Takanori Tanaka, Yasuharu Ohta, Taizoh Sadoh, Masanobu Miyao, Defect-free Ge-on-insulator with (100), (110), and (111) orientations by growth-direction-selected rapid-melting growth, IEEE Transactions on Information Theory, 10.1109/18.256510, 39, 3, 1040-1043, 1993.01, Defect-free Ge-on-insulator (GOI) with various crystal orientations is essential to realize high-speed and multifunctional devices. Seeded rapid-melting growth of GOI is investigated as a function of seed-orientations and growth-directions. From (100)-oriented Si seeds, Ge growth with a (100) orientation propagates for all growth-directions, however, rotational-growth is observed for some directions when Si seeds with (110) and (111) orientations are used. Such rotational-growth can be completely suppressed by selecting the growth-directions deviating from (111) by more than 35°. Transmission-electron-microscopy observation shows no-stacking fault and no-dislocations. Consequently, defect-free GOI with (100), (110), and (111) orientation is achieved, which demonstrates high-hole mobility (~1100 cm2/V s)..
452. Taizoh Sadoh, Hiroshi Nakashima, T. Tsurushima, Deep levels of vanadium and vanadium-hydrogen complex in silicon, Journal of Applied Physics, 10.1063/1.352353, 72, 2, 520-524, 1992.12, Deep levels in vanadium-doped n- and p-type silicon have been investigated using deep level transient spectroscopy (DLTS) and concentration profile measurements. The DLTS measurement reveals two electron traps of E C-0.20 eV and EC-0.45 eV, and a hole trap of E V+0.34 eV. These three levels correspond to the transitions between four charge states of interstitial vanadium. Furthermore, an electron trap of EC-0.49 eV is observed near the surface region of n-type samples etched with an acid mixture containing HF and HNO3. The origin of the trap has precisely been investigated by isochronal anneals and various chemical treatments. From these investigations, it is found that the trap is due to a complex of interstitial vanadium with hydrogen introduced by chemical etching..
453. Taizoh Sadoh, Hiroshi Nakashima, Diffusion of vanadium in silicon, Applied Physics Letters, 10.1063/1.105154, 58, 15, 1653-1655, 1991.12, The diffusion profiles of vanadium in silicon have been investigated. In the temperature range 950-1200°C an in-depth profile measurement by deep level transient spectroscopy was used, and in the temperature range 600-800°C an annealing experiment which employed a technique for profiling the concentration of deep levels within a depletion region was used. From the two kinds of concentration-profile measurements, the diffusion coefficient of interstitial vanadium in silicon was determined, and it is represented by the expression DV= 9.0×10-3 exp(-1.55/kT) cm2 s-1..
454. Taizoh SADOH, Role of vacancy annihilation in electrical activation of P implanted in Ge, 電子情報通信学会, 信学技報, 2011-4, 13-16.
455. Taizoh SADOH, Masanobu Miyao, High-Mobility Ge on Insulator (GOI) by SiGe Mixing-Triggered Rapid-Melting-Growth, ECS Transactions, 33, 6, 409-418.